Verilog除法器的设计总结

  • 1 概述
  • 2 基于减法和移位实现除法
    • 2.1 组合逻辑实现的实例
    • 2.2 时序逻辑实现的实例
  • 3 基于乘法和移位实现除法

1 概述

Verilog实现除法器需要根据实际使用场景进行调整,但整体来说实现的算法有两类:

  • 基于减法和移位实现除法
  • 基于乘法和移位实现除法

下面将对两类算法的实现过程和原理进行总结,并给出基本的实例。

2 基于减法和移位实现除法

2.1 组合逻辑实现的实例

对于32的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过32位。首先将a转换成高32位为0,低32位为a的temp_a。把b转换成高32位为b,低32位为0的temp_b。在每个周期开始时,先将temp_a左移一位,末尾补0,然后与b比较,是否大于b,是则temp_a减去temp_b将且加上1,否则继续往下执行。上面的移位、比较和减法(视具体情况而定)要执行32次,执行结束后temp_a的高32位即为余数,低32位即为商

/*
* module:div_rill
* file name:div_rill.v
* syn:yes
* author:network
* mo

Verilog除法器的设计总结相关推荐

  1. C语言设计一除法器,verilog 除法器

    verilog 除法器:利用二进制的除法翻译过来的硬件电路 1.1 实现算法 基于减法的除法器的算法: 对于32的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过32位.首先将a转换成高32 ...

  2. Verilog 除法器设计(包含单步设计和流水线设计)

    Verilog 除法器设计(包含单步设计和流水线设计) 1 除法器原理(定点) 2 除法器设计 2.1 单步运算设计 2.2 流水级例化 1 除法器原理(定点) 和十进制除法类似,计算 27 除以 5 ...

  3. 【Verilog HDL学习之路】第一章 Verilog HDL 数字设计总论

    1 Verilog HDL 数字设计总论 1.1 几个重要的概念 EDA(Electronic Design Automation) 电子技术自动化 EDA工具 类似于软件工程中的IDE(集成开发环境 ...

  4. verilog 除法器

    verilog 除法器:利用二进制的除法翻译过来的硬件电路 1.1 实现算法 基于减法的除法器的算法: 对于32的无符号除法,被除数a除以除数b,他们的商和余数一定不会超过32位.首先将a转换成高32 ...

  5. (44)Verilog HDL 计数器设计

    (44)Verilog HDL 计数器设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL 计数器设计 5)结语 1.2 FPGA简介 FPGA( ...

  6. (43)Verilog HDL 二分频设计

    (43)Verilog HDL 二分频设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL 二分频设计 5)结语 1.2 FPGA简介 FPGA( ...

  7. (40)Verilog HDL锁存器设计

    (40)Verilog HDL锁存器设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL锁存器设计 5)结语 1.2 FPGA简介 FPGA(Fi ...

  8. (39)System Verilog程序Program设计实例

    (39)System Verilog程序Program设计实例 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog程序Program设计实 ...

  9. (20)System Verilog接口interface设计示例

    (20)System Verilog接口interface设计示例 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog接口interfac ...

  10. 基于QuartusII的verilog数字时钟设计

    基于QuautusII的Verilog 数字时钟设计 (1)基本功能 ①显示年.月.日.星期.时.分,秒,是否为闰年(只有校对生效情 况时间可以不连续) : ②定时与闹铃:到设定的时间(选择周一至周末 ...

最新文章

  1. 【leetcode】力扣刷题(3):无重复字符的最长子串(go语言)
  2. python cgi nginx_nginx uwsgi和cgi python脚本
  3. saltstack之(二)软件包下载安装
  4. oracle12c视图刷新,12c 物化视图 - 对快速刷新的理解
  5. c语言不安全库_为什么和其他语言相比C语言是快速的语言?
  6. 【撸码师备忘录】腾讯API接入列表
  7. 网站底部运行时间的php代码,网站底部运行时间统计代码
  8. java 生成apk包_Eclipse导出安卓apk文件的图文教程
  9. 路由器setup模式
  10. mysql中distinct走索引吗_MySQL中索引优化distinct语句及distinct的多字段操作
  11. 打印 SpringMVC中所有的接口URL
  12. python整数类型进制表示_Python的基本数值类型
  13. java txt导出_Java导出txt文件的方法
  14. Java IO流使用过程中乱码问题总结
  15. 专业的个人记帐软件 爱上记帐 1.0.1
  16. VS C++ 和 MCR 调用MATLAB DLL
  17. ahpu程序设计协会蓝桥选拔赛题解
  18. CommMonitor监控串口数据
  19. 全国专科计算机学院排名2015,2021全国专科学校排名 最新高职高专院校排行榜
  20. 协议中的mask什么意思?

热门文章

  1. prosody之component
  2. 2016年蓝桥杯B组C/C++省赛试题-漏网之渔
  3. python素数的判断方法_判断是否是素数(质数)的几种简单方法
  4. ESP32 Uart串口控制器
  5. greenDAO简单使用经验
  6. tiny4412 uboot 2020.10版本移植(三)——uboot初步启动
  7. RabbitMQ整合SpringCloud
  8. 金融二叉树模型-给期权定价
  9. 金融随机分析——二叉树资产定价模型 第一卷
  10. 正三角形二面体群表示为二阶矩阵形式