1. 介绍

·奇偶校验:根据被传输的一组二进制代码的数位中“1”的个数是奇数或偶数来进行校验。采用奇数的称为奇校验,反之,称为偶校验。采用何种校验是事先规定好的。通常专门设置一个奇偶校验位,用它使这组代码中“1”的个数为奇数或偶数。若用奇校验,则当接收端收到这组代码时,校验“1”的个数是否为奇数,从而确定传输代码的正确性。 粘贴的

  1. 例如
    发送端传输字节8’bx0111010;x是校验位,使用奇校验,因为字节中有效数据位中1的个数为4位,所以x位填1,是字节满足1的个数为奇数。
  2. 快速确定校验位
    缩位异或运算符^, bits = 7’b0111010; 因为 ^bits = 0; 所以 x = 1’b1; 此处若bits中有5个1,^bits1 = 1; 那么x=1’b0;上图对缩减解释的好,剪切自http://www.techbulo.com/2830.html
  3. 接收端奇校验
    对接收的字节进行缩减异或,若为1则正确,0位错误。
  4. verilog代码
//odd check
//result 1 is correct
module parity_check_top(
input   wire    [7:0]   bits,
output  wire            odd_error
);
assign odd_error = ^bits;
endmodule//add parity bit
module gen_odd_bit_for7(
input   wire    [6:0]   bits,
output  wire    [7:0]   parity
);
assign parity = (^bits == 1 ) ? {1'b0,bits}:{1'b1,bits};
endmodule

verilog简单奇校验相关推荐

  1. Verilog[奇校验电路]

    奇校验电路原理 奇校验: 以此为例,输入信号为Symbol,包含7为信息码元和1位校验位. 发送端通过对校验位赋值,使输入信号中1数目为奇数. 在传输过程中信号可能会受到干扰导致码元翻转,为了判定是否 ...

  2. 杰里AC692x uart1奇校验使用

    杰里AC692X uart1奇校验使用 万军从中过,滴水不沾衣.大家好,我是Rangers.最近在使用杰里AC6926A与另一款芯片进行串口通讯也是踩了一下这个奇校验的坑.由于通讯的芯片只支持奇校验, ...

  3. struts中简单的校验

    Struts中简单的校验 "计应134(实验班) 凌豪" Struts2校验简要说明:struts2中通常情况下,类型转换要在数据校验之前进行.类型转换其实也是基本的服务器端校验, ...

  4. 基于verilog的CRC校验(汇总)

    目录 原理 计算 检错与纠错 纠错实现 Verilog实现 本来想整理一下关于CRC校验的内容,但是发现前辈们写的都很好,本文对内容进行整理汇总. 原理 crc为什么能够检错和纠错,这背后有着深刻的数 ...

  5. 【汇编】奇校验程序:输入一个字符,编写一个程序判断这个字符中1的个数,如果是偶数个1,则校验位(字符最高位)为1,如果是奇数个1,则校验位为0。并显示加入校验位前后该字符的二进制代码。

    奇校验程序:输入一个字符,编写一个程序判断这个字符中1的个数,如果是偶数个1,则校验位(字符最高位)为1,如果是奇数个1,则校验位为0.并显示加入校验位前后该字符的二进制代码. 编译结果:字符'0'A ...

  6. Verilog实现CRC32校验功能

    一.基本原理 CRC检验原理实际上就是在一个p位二进制数据序列之后附加一个r位二进制检验码(序列),从而构成一个总长为n=p+r位的二进制序列:附加在数据序列之后的这个检验码与数据序列的内容之间存在着 ...

  7. 【Verilog】CRC 校验(二)用 Verilog 实现生成 CRC 校验码

    目录 实验任务 CRC 生成 Verilog 实现 电路生成原理 模块设计图 CRC 生成时序图 具体代码实现 上板验证 实验任务 在上一篇介绍了 CRC 校验码的原理,如何计算 CRC 校验码,这篇 ...

  8. 数据传输中的 奇校验、偶校验

    1.在数字设备中,数据的传输是大量的,且传输的数据都是由若干位二进制代码 0 和 1 组合而成的.系统内部或外部干扰等原因,可能是数据信息在传输过程中产生错误,例如在发送端,待发送的数据是 8 位,有 ...

  9. 串口通信 / 奇校验、偶校验、0 校验和 1 校验

    --------------------------------------------- -- 时间:2019-01-28 -- 创建人:Ruo_Xiao -- 邮箱:xclsoftware@163 ...

最新文章

  1. 复旦陈静静 | 把握当下,坚持热爱,与食物图像识别结缘的科研之路
  2. HDFS2.X架构及工作原理
  3. python之禅怎么关闭_《Python之禅》中对于Python编程过程中的一些建议
  4. 解决VMWARE安装macos系统找不到虚拟磁盘问题
  5. 战线长一点的飞秋实现原理
  6. KVM Tracing, perf_events
  7. 鼠标不显示玩家服务器,远程链接服务器鼠标不显示
  8. 一键识别图片中的表格数据,并转为Excel
  9. US Domain Center 域名抢注服务
  10. 时空序列预测之Convolutional LSTM Network
  11. 英语四六级考试技巧/英语四六级真题
  12. EMCP  物联网云平台萤石云密钥功能使用手册
  13. 解决雅虎邮箱使用outlook只能收不能发送的问题(针对yahoo.com.cn)
  14. 【离散数学】代数系统,半群,独异点(幺半群),群,可交换群(Abel群)之间的关系
  15. 别让懒惰,毁了你的努力!从上班混日子到月入2万
  16. 割平面法(Cutting Planes )
  17. connectbot 1.8.2 下载from github
  18. Android 使用高德SDK实现导航笔记,android热更新原理
  19. (IP)回送地址(Loopback Address)
  20. web开源框架大汇总

热门文章

  1. Linux C 网络编程 仿照网盘的功能
  2. 第二十五届全国青少年信息学奥林匹克联赛初赛
  3. 50本关于软件测试的书籍
  4. 电脑开机时按F几重装系统
  5. 短视频优质作者必备|配音神器分享|那些你刷视频时肯定听过的声音
  6. java freemarker word导出 文字加图片双重循环 (经历无数坑)
  7. java中的char_java中的char是什么意思?
  8. 作为互联网人,这些潮流词汇你懂吗?
  9. 真是没有预料到,一款推送全国公考信息的app开发用了一年时间
  10. 用C#下的Raw Socket编程实现网络封包监视(摘录)