课设内容及要求
完成简易出租车计价器设计,选做停车等待计价功能。
(1)起步8元/3公里,此后1元/550米;
(2)里程指示信号为每前进5米一个高电平脉冲,上升沿有效;
(3)工作时钟1khz;
(4)前进里程开始之前显示价钱,精确到0.1元;
(5)停车后,显示价钱和精确到100米的里程;
(6)完成全部流程:设计规范文档、底层模块设计与代码输入及相应的功能仿真,约束与综合、布局布线、下载验证等。

出租车计价器控制电路是一简单的输入信号检测与处理、产生输出控制信号的逻辑电路。本文详细介绍了依据功能要求进行出租车计价器设计的过程,并在此基础上将整体电路分为信号接收模块、控制调度模块、控制产生模块、配置接口模块等主要功能模块。实现中采用Verilog HDL描述、ModelSim进行功能仿真、quartus II进行逻辑综合和适配下载,最后在Xilinx公司的VirtexII的芯片上实现并完成测试。在此过程中,完整地建立了测试平台,完成了功能和时序仿真,从而保证了设计的功能与时序的正确性。

下面是报告和代码截图:

顶层代码设

verilog or VHDL出租车计价器电路设计相关推荐

  1. verilog or VHDL乒乓球游戏电路设计

    内容及要求: VHDL verilog都已设计验证! 设计乒乓球游戏电路,用按键与LED表示输入与输出. (1)初始时,16个LED最边上的点亮,按下键表示发球,亮的灯依次向对方移动:当到达另一边倒数 ...

  2. VHDL设计出租车计价器

    下面是本设计功能,功能可以增添修改.代码有详细注释. 本工程创建于vivado下 下面是工程截图: 适用于quartusII.vivado.ISE等环境. ---文件名:           texi ...

  3. verilog出租车计价器

    出租车计价器由以下几个模块组成: 1.速度产生模块 module velocity(clk,rst,en_1,en_2,F_1HZ,frequency ); 2.速度判断模块 module judge ...

  4. 数字系统设计学习之出租车计价器设计

    前言 数字系统设计的大作业来了,用VHDL语言在实验板上实现一个出租车计价设计,有一些难度,大概花了几天时间,不过好在最后搞出来了,同时总结一下遇到的问题,为了排版整洁,源代码就放在最后放出了. 温馨 ...

  5. (71)FPGA模块调用(system Verilog调用VHDL)

    (71)FPGA模块调用(system Verilog调用VHDL) 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA模块调用(system Verilog调用V ...

  6. (68)FPGA模块调用(Verilog调用VHDL)

    (68)FPGA模块调用(Verilog调用VHDL) 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA模块调用(Verilog调用VHDL) 5)结语 1.2 ...

  7. 基于51单片机的出租车计价器的设计

    引言 在科学技术和经济水平快速发展的21世纪,乘坐出租车和网约车出行已经成为一种非常重要的交通出行方式.行业的转型发展让出租车行业迎来了一场新纪元.但是在出租车行业迅速发展的同时,也出现了很多问题.经 ...

  8. 【数电课程设计】---出租车计价器

    文章目录 1.任务要求 2.方案 3.收获 主要内容   出租车随着行驶里程的增加,就会看到汽车前面的计价器里程数字显示的读数从零逐渐增大,而当行驶到某一值时(如5km)计费数字显示开始从起步价(如1 ...

  9. 基于单片机的多功能出租车计价器设计资料

    1.1 出租车计价器概述    计价器显示的营运金额是营运里程与价格的函数(等候时间一般折算成一定比例的里程来计算).出租车计价器通过传感器与行驶车辆连接.出租汽车的实际里程通过传感器的脉冲信号在计价 ...

最新文章

  1. 利用Spring AOP与JAVA注解为系统增加日志功能
  2. Delphi 组件开发教程指南(4)组件生成过程(针对TWinControl继承而来的组件)
  3. centos7.7安装mysql8.0_centos7.7 安装 mysql8.0.20
  4. C语言 · 求存款
  5. P6880-[JOI 2020 Final]オリンピックバス【最短路】
  6. 阿诺德图像加密c语言,基于Arnold置乱的数字图像加密算法(二)
  7. 深度学习论文阅读进阶路径图
  8. ElasticSearch的中文分词
  9. (72)信号发生器DDS方波设计 (二)(第15天)
  10. mongodb java 执行js脚本_MongoDB编写并执行js脚本
  11. 不同库表数据库迁移工具_Microsoft提供的数据库迁移助手工具概述
  12. 解决 【git checkout -b dev origin/dev】报错的问题
  13. 医院his系统机房服务器,医院信息中心机房如何建设
  14. 常用的非线性激励函数
  15. 软考高级 真题 2017年下半年 信息系统项目管理师 论文
  16. 信息安全学习3. 常用的攻击手段 弱密码
  17. 目标客户画像_用户画像(1)用户画像的概念、意义和目标
  18. JAX-RS 从傻逼到牛叉 2:开发一个简单的服务
  19. Python学习(二)列表,for循环,切片,元组
  20. 如何用c写井字棋矩阵游戏(Tic-Tac-Toe)

热门文章

  1. Backbone - create model的实现原理
  2. 如何在outlook的日历Calendar里实现按照多时区显示日程安排
  3. when I enter ZSOCIAL role FM RH_STRUC_GET to get organization units that a given user belongs to
  4. SAP CRM 产品主数据搜索时的权限检查实现 - Product search authorization check
  5. SAP OData实现错误消息:OPPORTUNITIES_GET_ENTITY not implemented in data provider class
  6. 如何处理VMware启动虚拟机时的错误信息Failed to lock the file
  7. 安装指定版本的minikube
  8. OpenFOAM中:点,线,面,体的概念区别(尤其是face和patch的区别)
  9. matlab 函数return_基于MATLAB的指纹识别系统【论文,GUI】
  10. linux 怎么重装libaprutil,Apache安装出错_cannot install `libaprutil-1.la' to a directory