Verilog hdl与VHDL混用详解

1.概述

由于在FPGA开发过程中,多人合作时可能遇到有人使用verilog hdl,有人遇到VHDL的情况,这就涉及到了verilog hdl与VHDL的相互调用。

本文就是介绍verilog hdl与VHDL混合使用的方法,比给出示例。包括两个方面:

1)Verilog hdl调用VHDL代码;

2)VHDL调用veriolg hdl代码。

2.Verilog hdl调用VHDL

Verilog hdl调用VHDL很简单,只需要把VHDL的实体(entity)当成一个verilog模块(module)即可按verilog的格式调用。例程如下:

2.1 被调用VHDL模块的实体

2.2 Verilog hdl的调用情况

3. VHDL调用verilog hdl

VHDL调用verilog hdl相对比较麻烦,需要先将verilog的模块(module)做成VHDL的元件(component),再进行调用。例如下面的例子:

3.1 被调用verilog hdl模块

3.2 模块元件化:

3.3 元件例化

4. 总结

总的来说,verilog与VHDL的混用也就是相互调用的方式,就是将对方当成自己的模块,然后按自己本身的语法来调用即可。即:

  1. Verilog调用VHDL是将VHDL的实体(entity)当成verilog中的模块(module)来调用;
  2. VHDL调用verilog是将verilog的模块(module)当成VHDL中的实体(entity)来调用,先元件化,再例化。

Verilog hdl与VHDL混用详解相关推荐

  1. (116)System Verilog类合成(类包含关系)详解

    (116)System Verilog类合成(类包含关系)详解 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog类合成(类包含关系)详解 ...

  2. 例说Verilog HDL和VHDL区别,助你选择适合自己的硬件描述语言

    如果你搜索Verilog和VHDL的区别,你会看到很多讨论这场HDL语言战争的区别页面,但大多数都很简短,没有很好地举例说明,不方便初学者或学生理解. Verilog和VHDL之间的区别将在本文中通过 ...

  3. Verilog HDL和VHDL的区别

    VHDL 与 VerilogHDL 的不同点 序号 区别之处 VHDL Verilog 1 文件的扩展名不一样 .vhd .v 2 结构不一样 包含库.实体.结构体. ENTITY 实体名 IS PO ...

  4. [从零开始学习FPGA编程-9]:快速入门篇 - 操作步骤2-1- 硬件电路图形化描述与文本硬件描述语言Verilog HDL与VHDL语言以及比较

    作者主页(文火冰糖的硅基工坊):文火冰糖(王文兵)的博客_文火冰糖的硅基工坊_CSDN博客 本文网址:https://blog.csdn.net/HiWangWenBing/article/detai ...

  5. vscode搭建Verilog HDL开发环境

      工欲善其事,必先利其器.应该没有多少人会使用Quartus和vivado这些软件自带的编辑器吧,原因在于这些编辑器效率很低,Verilog HDL代码格式比较固定,通常可以利用代码片段补全加快书写 ...

  6. Verilog HDL 快速入门

    Verilog HDL 快速入门 Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),它是以文本形式来描述数字系统硬件的结构和行为的语言. ...

  7. FPGA开发基本流程详解

    FPGA是一种可编程逻辑器件,与传统的硬连线电路不同,它具有高度的可编程性和灵活性.FPGA的设计方法包括硬件设计和软件设计两部分,硬件设计包括FPGA芯片电路.存储器.输入输出接口电路等等,软件设计 ...

  8. 数字集成电路设计(一、Verilog HDL数字集成电路设计方法概述)

    文章目录 集成电路发展 HDL产生 HDL分类 Verilog HDL的发展 Verilog HDL与VHDL Verilog HDL在数字集成电路设计中的优点 组合逻辑电路原理图设计和Verilog ...

  9. 详解FPGA实现8b10b编码原理(含VHDL及verilog源码)

    首发自https://hifpga.com/%E9%97%AE%E9%A2%98/37599 为什么要推出8b/10b编码? 8b/10b最常见的是应用于光纤通讯和LVDS信号的.由于光模块光模块只能 ...

最新文章

  1. XML DOM 解析器概述
  2. 【Redis】Redis学习(三) Redis 主从模式详解
  3. mysql从库追主库日志_Mysql主库跑太快,从库追不上怎么做?
  4. python核心编程6-14习题的解题思路
  5. Chrome 调试技巧
  6. PAT_B_1060_Java(25分)
  7. 操作系统知识——互斥和死锁
  8. 计算机英语第六单元,计算机专业英语第六版第十单元课后汉译英,We do use other forms....这个do...
  9. C++工作笔记-C++中assert的作用
  10. Asp.net Ajax Control Toolkit设计编程备忘录(色眼窥观版)——第5回(错不了专辑)
  11. 计算机考试模拟系统无法进入,全国计算机等级考试上机考试模拟系统使用说明...
  12. 直观讲解Matlab的im2col函数
  13. 杭电 HOJ 3038 How Many Answers Are Wrong 解题报告
  14. 如何通过a链接实现图片下载
  15. 关于给电鼓音源增加鼓盘或者DIY鼓盘(DIY镲片)的方法
  16. 如何下载Idea专业版
  17. iOS 去除字符串中的H5标签
  18. python安装math模块_python math模块
  19. FotoMagico Pro 5.6.6 特别版 Mac 优秀电子视频相册制作工具软件
  20. OpenFoam-6 导入并编译一个新湍流模型

热门文章

  1. Leetcode上的解法看不懂?试着用动画的方式去辅助理解
  2. 如何得到当前application server的host name和port number
  3. 使用table ENLFDIR 快速找出function module和function group的对应关系
  4. Java Spring log源代码学习
  5. SAP CRM产品主数据重要函数COM_PRODUCT_UI_GETDETAIL的调试
  6. SAP CRM事务码COMMPR01无法搜索Individual Object
  7. jemeter python接口自动化测试平台_ant+jmeter+Jenkins接口自动化测试实战(1)
  8. python get post区别_大话Python, webpy教程之GET和POST区别
  9. 语言求余和乘除优先级_愉快地学Java语言:第二章基本程序设计 第2讲
  10. html5音频文件生成波形图代码,HTML5/D3.js 可视音频波形柱状图