第一章:Verilog 简介
    1.1 Verilog 教程
    1.2 Verilog 简介
    1.3 Verilog 环境搭建
    1.4 Verilog 设计方法

第二章:语法要素

2.1 Verilog 基础语法
    2.2 Verilog 数值表示

2.3 Verilog 数据类型

2.4 Verilog 表达式

2.5 Verilog 编译指令

第三章:数据流建模

3.1 Verilog 连续赋值

3.2 Verilog 时延

第四章:行为级建模

4.1 Verilog 过程结构

4.2 Verilog 过程赋值

4.3 Verilog 时序控制

4.4 Verilog 语句块

4.5 Verilog 条件语句

4.6 Verilog 多路分支语句

4.7 Verilog 循环语句

4.8 Verilog 过程连续赋值

第五章:结构建模

5.1 Verilog 模块与端口

5.2 Verilog 模块例化

5.3 Verilog 带参数例化

第六章:进阶与优化

6.1 Verilog 函数

6.2 Verilog 任务

6.3 Verilog 状态机

6.4 Verilog 竞争与冒险

6.5 Verilog 避免 Latch

6.6 Verilog 仿真激励

6.7 Verilog 流水线

第七章:设计实例

7.1 Verilog 除法器设计

7.2 Verilog 并行 FIR 滤波器设计

7.3 Verilog 串行 FIR 滤波器设计

7.4 Verilog CIC 滤波器设计

7.5 Verilog FFT 设计

7.6 Verilog DDS 设计

第八章:随手笔记

8.1 Verilog 数值转换

更多数字、硬件知识总结,欢迎关注

更多编程语言教程,欢迎访问本教程独家授权网站《菜鸟教程》

Verilog 教程相关推荐

  1. SANXIN-B01 Verilog教程-郝旭帅团队

    今天给大侠带来"SANXIN-B01开发板verilog教程-郝旭帅团队电子版",获取电子版资料,请在"FPGA技术江湖"公众号内回复"verilog ...

  2. 【verilog教程】第6篇:模块

    本文依据网络资料及工作经验整理而成,如有错误请留言. 文章为个人辛苦整理,付费内容,禁止私自转载. 文章专栏:<黑猫的FPGA知识合集> 1 概述 erilog HDL程序是由模块构成的. ...

  3. ise verilog多模块编译_如何使用ISE高效开发Verilog项目(新手)

    高效开发VerilogHDL项目 V1.0@2014.11.22 作者:刘乾@北航计算机学院 免责声明 这份文档完全是依据作者在实际项目开发中总结出的经验撰写而成的.本文档仅供参考.作者不对文档中的任 ...

  4. JavaTPoint 编程语言中文教程【翻译完成】

    原文:JavaTPoint 协议:CC BY-NC-SA 4.0 阶段:机翻(1) 危机只有发展到最困难的阶段,才有可能倒逼出有效的解决方案.--<两次全球大危机的比较研究> 在线阅读 在 ...

  5. ASIC-WORLD Verilog(1)一日Verilog

    写在前面 在自己准备写一些简单的verilog教程之前,参考了许多资料----asic-world网站的这套verilog教程即是其一.这套教程写得极好,奈何没有中文,在下只好斗胆翻译过来(加了自己的 ...

  6. Cyclone FPGA踏足笔记(二):Verilog语法学习总结

    欢迎来我的个人博客:https://codinglover.top/ 转转! 前言 花了一个月时间零零碎碎看了下Verilog的语法,终于把Verilog的基本语法学了个大概,可以自己写点小东西了,由 ...

  7. ASIC-WORLD Verilog(2)FPGA的设计流程

    写在前面 在自己准备写一些简单的verilog教程之前,参考了许多资料----asic-world网站的这套verilog教程即是其一.这套教程写得极好,奈何没有中文,在下只好斗胆翻译过来(加了自己的 ...

  8. Verilog 有限状态机

    状态机基本概念 状态机,全称是有限状态机( Finite State Machine,缩写为FSM),是一种在有限个状态之间按一定规律转换的时序电路,可以认为是组合逻辑和时序逻辑的一种组合. 状态机通 ...

  9. Verilog Tutorial(5)使用always块实现时序逻辑电路

    写在前面 在自己准备写verilog教程之前,参考了许多资料----FPGA Tutorial网站的这套verilog教程即是其一.这套教程写得不错,只是没有中文,在下只好斗胆翻译过来(加了自己的理解 ...

  10. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

最新文章

  1. Android非UI线程更新UI的几种方法
  2. 电脑打字手指正确姿势_男人耍帅小技能:如何用手指吹口哨
  3. javascript日历插件
  4. jquery中prop()方法和attr()方法的区别
  5. Vmvare三种网络连接方式:桥接模式、NAT模式和HostOnly模式
  6. 马云:今后数字技术是让人类能够更好的生存下去
  7. android 崩溃捕获_Android从相机和图库捕获图像
  8. linux软路由 iptv,LEDE x64软路由实现任意网口观看上海电信4K IPTV或上网
  9. 【必看】AVAudioPlayer播放声音时加入了后台播放功能,看懂了吗?
  10. 速学堂java面试题_速学堂JAVA300集 第四章作业练习题
  11. 智能制造-汽车行业线体工艺模拟仿真应用
  12. (附源码)ssm心理咨询服务平台 毕业设计 324615
  13. 树莓派4b 创乐博 7寸 1920*1200 分辨率触摸屏校准
  14. 2021字节秋招算法岗面经——抖音推荐
  15. HDU 4966 GGS-DDU [最小树形图]
  16. 计算机上怎么计算x的n次方,计算x的n次方(用函数)
  17. 2017-12-12
  18. SkyEye天目全数字实时仿真软件功能介绍
  19. python自学网站-杭州python自学网站
  20. C语言在坐标轴上输出曲线,C语言图形输出习题

热门文章

  1. centos7 yum install redis
  2. treeview子节点文本过长超过DIV自动换行CSS解决
  3. PHP 设计模式之观察者模式 (转载)
  4. Oracle字符集设置
  5. 技术人员要拿百万年薪的修仙之路
  6. python通过jdbc连接hive_Python使用JDBC连接Hive
  7. WIN32汇编对话框的使用
  8. 完整记录一则Oracle 11.2.0.4单实例打PSU补丁的过程
  9. python语言设置_Python语言脚本的安装和配置
  10. 圈圈教你玩usb第一版件软件使用说明