设计源文件

`timescale 1ns / 1ps
module ymg(input s1,input s2,input s3,input [2:0] a,output [7:0] y);reg [7:0] y=0;
always @(s1 or s2 or s3 or a)
begin
if(s1&&~s2&&~s3)case(a)0:y=8'b11111110;1:y=8'b11111101;2:y=8'b11111011;3:y=8'b11110111;4:y=8'b11101111;5:y=8'b11011111;6:y=8'b10111111;7:y=8'b01111111;default:y=8'b11111111;endcase
elsey=8'b11111111;
end
endmodule

测试文件:

`timescale 1ns / 1psmodule ymg_t();
reg s1,s2,s3;
reg [2:0] a;
wire [7:0] y;
ymg ym(s1,s2,s3,a,y);
initial
begins1=0;s2=0;s3=0;a=0;#100s1=1;s2=0;s3=0;
end
always #100 a=a+1;
endmodule

仿真结果:

约束文件:

##Switches
set_property -dict { PACKAGE_PIN J15   IOSTANDARD LVCMOS33 } [get_ports { s1 }]; #IO_L24N_T3_RS0_15 Sch=sw[0]
set_property -dict { PACKAGE_PIN L16   IOSTANDARD LVCMOS33 } [get_ports { s2 }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1]
set_property -dict { PACKAGE_PIN M13   IOSTANDARD LVCMOS33 } [get_ports { s3 }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2]
set_property -dict { PACKAGE_PIN R15   IOSTANDARD LVCMOS33 } [get_ports { a[0] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3]
set_property -dict { PACKAGE_PIN R17   IOSTANDARD LVCMOS33 } [get_ports { a[1] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4]
set_property -dict { PACKAGE_PIN T18   IOSTANDARD LVCMOS33 } [get_ports { a[2] }]; #IO_L7N_T1_D10_14 Sch=sw[5]## LEDs
set_property -dict { PACKAGE_PIN H17   IOSTANDARD LVCMOS33 } [get_ports { y[0] }]; #IO_L18P_T2_A24_15 Sch=led[0]
set_property -dict { PACKAGE_PIN K15   IOSTANDARD LVCMOS33 } [get_ports { y[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1]
set_property -dict { PACKAGE_PIN J13   IOSTANDARD LVCMOS33 } [get_ports { y[2] }]; #IO_L17N_T2_A25_15 Sch=led[2]
set_property -dict { PACKAGE_PIN N14   IOSTANDARD LVCMOS33 } [get_ports { y[3] }]; #IO_L8P_T1_D11_14 Sch=led[3]
set_property -dict { PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports { y[4] }]; #IO_L7P_T1_D09_14 Sch=led[4]
set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33 } [get_ports { y[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5]
set_property -dict { PACKAGE_PIN U17   IOSTANDARD LVCMOS33 } [get_ports { y[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6]
set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33 } [get_ports { y[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7]

1. 3:8译码器的设计。(输入端3位地址输入端 ,8个输出,s1 s2 s3共同构成使能端)相关推荐

  1. 哈夫曼编/译码器的设计与实现(结合文件)

    文章目录 前言 一.问题描述: 二.数据结构设计: 1.课设要求: 2.具体实现: 三.功能(函数)设计 1.课设要求 2.具体实现: 四.界面设计 五.程序设计 1.流程图/程序思想详细介绍: 2. ...

  2. FPGA学习之路—应用程序—基于Verilog设计单总线8位ALU

    FPGA学习之路--基于Verilog设计单总线8位ALU 定义 ALU(arithmetic and logic unit) 算术逻辑单元,简称ALU,是计算机的数学运算核心,也就是负责运算的组件, ...

  3. Java版 设计一个程序, 输入三位数a, 分别输出个,十,百位

    1. 设计一个程序, 输入三位数a, 分别输出个,十,百位. (0<a<1000) 样例输入: 251 样例输出: 2 5 1 package package01; import java ...

  4. (83)FPGA减法器设计(多位减法器)

    (83)FPGA减法器设计(多位减法器) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)FPGA减法器设计(多位减法器) 5)技术交流 6)参考资料 2 FPGA入 ...

  5. 【VHDL】带使能端的同步复位的8位寄存器设计

    [VHDL]带使能端的同步复位的8位寄存器设计 程序: library ieee; use ieee.std_logic_1164.all;entity reg8 is port(clk,OE,RES ...

  6. 计算机组成原理快速加法器与32位ALU设计实验报告(8位可控加减法电路设计、CLA182四位先行进位电路设计、4位快速加法器设计、16位快速加法器设计、32位快速加法器设计)

    实验二 快速加法器与32位ALU设计实验 本次实验,进行了五个实验,分别是8位可控加减法电路设计.CLA182四位先行进位电路设计.4位快速加法器设计.16位快速加法器设计.32位快速加法器设计.这次 ...

  7. 数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器

    数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器 要求: 1.输入为两个4位二进制数,分别接四个逻辑电平开关,同时接数码管 2. 输出和LED相连 Multisim仿真:

  8. 番外6:ADS功放设计之使用Smith圆图确定输入与输出匹配的合理性

    番外6:ADS功放设计之使用Smith圆图验证输入与输出匹配的合理性 输入匹配和输出匹配在射频设计之中十分重要,在射频功放设计之中更是如此. 在射频功放的设计时,通过观察输入或者输出匹配的S参数性能, ...

  9. 带使能端的寄存器(verilog设计)

    分享一下带使能端的D触发器的设计 module reg_A(Ain, Clock, R, Q); input wire Ain; input wire Clock; input wire [15:0] ...

最新文章

  1. [原] XAF How to Edit multiple objects in a ListViewAndDetailView
  2. 8月第4周国内IT网站/频道Top15:太平洋降至第三
  3. Xamarin组件包 Xamarin.ToolKit
  4. .net2.0 C# Json反序列化
  5. LeetCode-148:排序链表
  6. 没有样式重置_我不能没有的5个Vue.js库
  7. 一种云端语音识别系统及方法
  8. linux邮件客户端软件,适用于Linux的4款最佳电子邮件客户端 | MOS86
  9. python aiml开发文档_Python AIML搭建聊天机器人
  10. UEditor ASP.NET 版使用教程
  11. iPhone 12面容ID解锁设置
  12. 网络流精讲——最大流 包教包会
  13. 修改用友服务器ip地址,修改用友服务器ip地址
  14. 计算机应用基础(专)【6】
  15. python实现随机密码生成
  16. Elasticseach:从微服务架构演变到大宽表思维的架构转变
  17. JVM笔记(三)类与类加载
  18. 【IOS】Firebase(Google、Facebook、Apple、Guest)登录,FCM,Apple In-App,Kakao
  19. Android 仿手机通讯录页面
  20. 上海泰泽投资咨询有限公司(日本VE协会上海办事处)与江苏省外专局签订战略合作协议

热门文章

  1. Google地图瓦片拼图算法解析
  2. 计算机设计专业要画画吗,计算机绘画相关高校专业有哪些
  3. 统计学习方法03—朴素贝叶斯算法
  4. STC89C52RC单片机额外篇 | 02 - 认识串行通信、波特率以及数据包
  5. ueditor编辑器插入的图片宽高超过了编辑器的宽高就会有个超出范围的外边线
  6. 云计算技术的现状和未来发展趋势分析
  7. 【补遗】 Let me sleep(NCD 2019, Gym - 102163B)
  8. vue2.x实现视频遮罩层镂空,不影响视频播放
  9. IPv4中,私有地址的范围
  10. 基于nodejs的分布式服务构建