【教程】LATTICE DIAMOND 工程新建介绍

本文为明德扬原创文章,转载请注明出处

  在上一篇的文章《1.LATTICE DIAMOND的LICENSE申请方法》中,我为大家详细介绍了Lattice开发工具Diamond的安装以及license生成方法。

  Diamond开发工具下载链接在明德扬的官方论坛上已经更新,有需要的同学请到官方论坛自取。

  Diamond 3.10开发工具下载传送门:
  http://www.fpgabbs.cn/forum.php?mod=forumdisplay&fid=58。

  软件安装破解后,即可进行使用。

  本文详细的为大家介绍Diamond软件工程的新建步骤,其中包括V文件的添加、顶层文件的设置、管脚的配置、工程的编译综合、bit流文件生成。

  首先了解一下软件界面。工程生成后,会在File List状态栏中显示工程的芯片型号、工程文件、管脚配置文件、调试文件、以及编译后的文件等信息(如下图1):

         图1 File List界面信息

  Process状态栏显示的是工程的综合、生成下载文件过程,其中包括工程的综合、布局布线,和待烧录文件的生成(如下图2)。Hierarchy状态栏可以用来查看添加的IP核有没有编译进工程中。
         图2 Process界面信息

  下面来新建一个工程。

  Diamond建立工程的过程与Quartus类似,点击File→New→Project
来打开工程建立窗口。在Project Name界面中分别为工程和综合后的文件命名以
及选择保存的文件位置;在Select Device界面对FPGA芯片进行选择,在要编程的FPGA芯片系列下找到对应的型号,这里需要注意的是芯片的速度等级要与实际的芯片型号对应上,否则烧录的时候会出现错误(如下图3)。Select Synthesis Tool界面选择编译工具,这里选择Diamond自带的编译工具Lattice LSE,也可以选择外部编译工具Synplify Pro。工程生成之后,会在File List界面显示工程的芯片信息、工程文件等。工程建立后,需要添加文件,右键点击Input Files→Add→New File,在弹出的窗口中选择Verilog Files(或VHDL Files),选择好文件目录和文件名,即可添加一个空白的文件(如下图4)。设计好各个模块后,需要指定一个顶层文件,右键点击Impl_xx(如下图5),在弹出的界面中找到Top-Level Unit,在右侧空白栏中输入需要设置为顶层模块的名称即可。
         图3 芯片选型界面

         图4 添加V文件
         图5 设置顶层文件

  工程文件建立好后,需要编译一下,在第二栏Process中双击Synthesis Design对工程进行编译。编译通过后需要分配管脚,找到界面上方工具栏第三行最左侧图标SpreadSheet View(如下图6)进行管脚分配。管脚分配完成后在Process状态栏中勾选Map Design下的Map Trace和Place & Route Design下的Place & Route Trace,双击Place & Route Design进行布局布线,通过以后勾选Export Files下的Bitstream File并双击Export Files生成BIT流文件。
         图6 管脚分配

  到这里,一个工程的bit流文件已生成成功,在接下来的文章中,我会继续向大家介绍bit流文件的烧录以及工程IP核的添加、在线调试工具Reveal的使用,希望大家可以有所收获。

【教程】LATTICE DIAMOND 工程新建介绍相关推荐

  1. Lattice Diamond 和 ispLEVER 的不同之处

    Lattice Diamond 和 ispLEVER.有一些不同,尤其是如何管理工程的不同,包括以下几点: 1.ispLEVER 有多种工程类型,不同的程序文件类型需要不同的类型的工程:但是Diamo ...

  2. Altium designer18系列教程一 建工程和封装介绍

    Altium designer18系列教程一 建工程和封装介绍 AD18介绍 AD18建工程 AD18使用 AD整体规划和封装介绍 封装介绍(借鉴燕骏工作室AD系列教程封装介绍) 一.标准零件 二. ...

  3. 威纶通屏幕(HMI)开发基本教程三:如何新建一个工程(modbus Tcp/Ip 工程)

    1.下载和安装EasyBuilder Pro,下载地址 :http://www.weinview.cn/ServiceSupport/Download/Index.aspx 2.打开Utility M ...

  4. Lattice diamond IPexpress 例子 - PLL

    [小脚丫STEP-MXO2]学习3 -PLL使用(IPexpress) http://jingyan.eeboard.com/article/75672 小脚丫玩了也快两个星期了,这次写关于板上芯片P ...

  5. Lattice Diamond关于原语的使用

    Lattice Diamond关于原语的使用 前言 一.原语基本概念 (一)简介 (二)常用原语 1.时钟相关原语 2.差分输入/输出原语 3.接口相关原语 二.Lattice原语 (一)原语使用 ( ...

  6. Lattice Diamond安装与学习

    Lattice Diamond安装与学习 一.关于LATIICE安装配置 (一)安装 (二)关于初始化设置 二.帮助文档 三.关于新建项目 (一)界面 (二)文件类型 四.IP核配置 一.关于LATI ...

  7. Cocos2D-x工程目录介绍

    <Cocos2D-x权威指南>第2章搭建跨平台的开发环境,本章介绍Cocos2D-x的项目在Win32.Android.iOS开发环境中的安装与配置,详细介绍了项目结构以及新建项目和交叉编 ...

  8. modelsim ddr3仿真lattice diamond

    转载大部分https://www.cnblogs.com/fhyfhy/p/5224208.html并对其中某些地方就行了改进 自己使用平台:lattice diamond 3.5 ddr3 ip版本 ...

  9. Xamarin Android教程Android基本知识版本介绍与系统介绍

    Xamarin Android教程Android基本知识版本介绍与系统介绍 Xamarin Android教程Android基本知识版本介绍与系统介绍,开发Andriod有时候不像iOS一样轻松,因为 ...

最新文章

  1. 【怎样写代码】参数化类型 -- 泛型(八):泛型委托
  2. 可能是把Docker的概念讲的最清楚的一篇文章
  3. 【深入Java虚拟机JVM 05】HotSpot对象探秘
  4. python学习(十八) 程序打包
  5. 我们的合作伙伴Datawhale两岁啦!
  6. 如何删除本地分支_如何上传项目到GitHub
  7. WinForm中导出Excel
  8. Git 基础(七)—— 远程仓库(GitHub)
  9. 信号与系统sa函数求积分_胡昉祖《导数的概念》和《定积分》
  10. JWT(Json Web Token)介绍
  11. 20. yii 2 分页
  12. 恩智浦半导体推出近距离非接触式读卡器IC CLRC663
  13. n维椭球体积公式_初中物理公式总结(表格整理版)
  14. 腾讯云GPU云服务器配置初体验
  15. sina 股票接口 2022.1.21 更新
  16. 玩知乎五年,我赚了多少钱?
  17. 视觉导航小车开源项目(1)—小车底盘
  18. WPF工控组态软件之管道和冷却风扇开发
  19. python之__slots__
  20. Lytro 一代资料.缘起

热门文章

  1. 接口(interface)与类(class)的实例互相转换
  2. 【IHS+稀疏表示】基于IHS小波变换和稀疏表示相结合的遥感图像融合算法matlab仿真
  3. 运放中不使用引脚正确处理方式
  4. sublime下载gbk编码
  5. grub制作USB启动盘
  6. 新大陆物联网开发-物联网竞赛-物联网开发中必须要知道的协议-单片机嵌入式开发
  7. vue 插件 滑块验证_vue之滑块验证码
  8. 带滚动字幕的视频批量制作的方法
  9. 比较两张图片的相似性
  10. 【51单片机多机通信】主机控制从机1步进电机、从机2超声波测距(主机可集中显示步进电机的转速、转向、启停状态以及超声波测距结果)