1、双击软件Modelsim-64 10.4打开

2、点击左上角File-->new-->Library..

3、点击之后出现Create a New Libra

4、在Library Name之中输入自己想要的名字建议英文,因为本混子取得英文,没试过中文,然后点击OK

5、在你的Library页面可以找到自己刚才创建的Library

6、点击左上角File-->New-->Project..

7、点击之后出现Create Project

8、在Project Name中输入自己想要起的名字,建议英文,在Project Location后面的Browse..选择想要保存的地方,在Default Library Name下输入自己想要保存在哪个Library下,然后点击OK

9、点击之后会变为这个样子,点击Create New File

10、点击之后,会出现下面情况,在File Name 中输入自己想起的名字,建议英文,中文本混子没试过,点击Add file as type下面的黑色三角,选择verilog

11、输好之后,点击OK

12、屏幕变为这个样子,点击正中间Add items to the Projict的×,关闭Add items to the Projict。

13、双击你所创建的.v文件,本混子创建的就是hz.v文件。

14、弹出编程框,接下来就可以进行编程使用了

2、Modelsim使用教程相关推荐

  1. Modelsim/QuestaSim教程——DO文件篇

    如果你对Modelsim/QuestaSim还不是很熟悉,玩熟再来,点击转至<基础篇>. 你也可以在优酷看到演示的视频. 下面介绍使用DO文件实现自动仿真的功能. 先给个参考的文件/目录路 ...

  2. 【Win10 64位 Modelsim安装教程】双击patch_dll.bat文件提示找不到mgls.dll或mgls64.dll问题

    以管理员身份运行cmd!!!!

  3. quartus18.1和modelsim的下载安装

    下载链接 本文为quartus18.1标准版,需要破解,也可以下载quartus18.1精简版无需破解 阿里云盘https://www.aliyundrive.com/s/x5bXLJPUJnd 下载 ...

  4. 入门数字集成电路设计系列(一)——Modelsim安装及破解过程记录

    入门数字集成电路设计系列(一) #Modelsim破解教程(记录) Modelsim是由 Mentor Graphics 公司开发的软件,它是世界最优秀的Verilog HDL语言仿真工具,是FPGA ...

  5. sv_labs学习笔记 专栏说明博文目录

    栏目说明 专栏主要提供sv_labs学习过程中笔者认为比较重要的点以及相关延申.本文假设读者已经具有相关语言的背景.不会过分纠结语言本身,但会重点对于一些语言进行理解.语言的理解见笔者的另外一个专栏S ...

  6. 华为FPGA设计设计规范

    最近看到了一份华为早年的FPGA设计规范文档,分享给大家. 前言 本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证.编写本流程的目的是: 在于规范整个设计流程,实现 ...

  7. Modelsim初级使用教程

    一. Modelsim简介 Modelsim仿真工具是Model公司开发的.它支持Verilog.VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且 ...

  8. 最新Quarters II 13.1 下载安装全教程 + ModelSim联调(2022/12/11 )

    目录 1.Quarters II 13.1 下载安装 1.先下载好Quarters软件 和 ModelSim 软件 重要链接见后面!重点解决了视频中存在的一些问题! 2.Quarters 安装(补充视 ...

  9. 【黑金原创教程】【Modelsim】【第六章】结束就是开始

    声明:本文为黑金动力社区(http://www.heijin.org)原创教程,如需转载请注明出处,谢谢! 黑金动力社区2013年原创教程连载计划: http://www.cnblogs.com/al ...

  10. ModelSim入门FPGA仿真基础教程之一:软件介绍

    编写这个教程之前,为了让不同水平阶段的人都能阅读,我尽量做到了零基础入门这个目标,所有的操作步骤都经过缜密的思考,做到了详细再详细的程度. 如果您是FPGA开发方面的初学者,那么这个教程一定能够帮助你 ...

最新文章

  1. PHP内存管理 垃圾回收
  2. 2 HTML中的body和它的默认样式
  3. 存储过程中调用EXECUTE IMMEDIATE的“权限不足”问题
  4. 尽量不要在viewWillDisappear:方法中移除通知
  5. c++ STL之queue
  6. ISIS仿真中Buzzer蜂鸣器不发声
  7. 华为 eNSP模拟器安装教程
  8. 【算法/数论】欧拉筛法详解:过程详述、正确性证明、复杂度证明
  9. 那些惊艳你岁月的古诗词
  10. docker 安装snipe-it
  11. graphpad7.04多组比较p值_GraphPad中国官网 - Prism 8 统计指南 - 对P值的更多错误解读...
  12. 中国央行将发行全球首个法定数字货币,你准备好了吗?
  13. Python基础嘚吧嘚
  14. 冯诺依曼计算机和现代,为什么现代计算机被称为冯·诺依曼结构计算机?
  15. 提示dns服务器未响应,网络诊断提示DNS服务器未响应解决方法_
  16. 周末阅读之《刻意练习》,很多“天才”不过是找对了方法
  17. python 实现描述性统计、频数分布图、正态分布检验、概率密度曲线拟合
  18. 这10本Python与算法书,你绝对值得拥有!
  19. 达人评测 i5 13400和r5 7600x选哪个好 酷睿i513400和锐龙r57600x差距
  20. 《人生的智慧》——人的基本划分

热门文章

  1. JAVA回文数代码getReverse_java判断回文数示例分享
  2. c++ 以当前时间为文件名打印日志
  3. arcmap地图与mapinfo地图的转换
  4. pix4d空三导入cc_CC(Context Capture)软件安装及空三过程中的十大常见报错与解决方法...
  5. WinForm窗体美化
  6. winform界面控件丢失的问题
  7. Java2实用教程第五版课后习题解析(持续更新,适合初学者)
  8. Deepin更换仿Mac主题(附Mac壁纸)
  9. 计算机网络之数据链路层协议总结
  10. C语言推荐书籍从入门到进阶带你走上大牛之路