1. TI-高精度实验室-ADC

1.1. 参考资料

  1. TI-precision-labs
  2. ADC噪声分析
  3. TI在电机系统中的电压电流采样技术详解
  4. delta sigma ADC

1.2. 名词解释

  • ENOB:effect number of bit
  • SNR:signal noise ratio
  • SINAD:signal to noise and distortion
  • offset:偏差
  • drift:漂移
  • error:误差
  • noise:噪声
  • FSR:满量程
  • NFS:负满量程
  • PFS:正满量程
  • LSB:least significant bit
  • anti-aliasing :抗混叠
  • CDAC:capacitive DAC
  • EOS: electrical overstress
  • SAR ADC: successive approximate ADC
  • AFE: analog front end

1.3. 总结

  1. 理解ADC的种类

    1. Pipeline:流水线

      1. 优点:非常快,带宽大。不常用
      2. 缺点:位数做不高,价格高
    1. SAR:successive approximate

      1. 优点:性价比高。延时比较小
      2. 缺点:有量化误差。采样频率比较低。
      3. 对Vref要求高,一个hold阶段,会不停地要给电容充电。
    1. Δ∑ ADC:

      1. 优点:可以减少量化误差。使用数字化的方式
      2. 缺点:cycle latency延时大。
      3. 利用了数字化级数,先过采样,然后再数字滤波把量化噪声也减少了,最后还要靠外部RC进行抗混叠。
    1. 双积分型:

      1. 输入给电容充电,然后在hold阶段判断多久放完电,就知道输入的电压值了。不过貌似不常见啊。
  1. 理解ADC的非线性

    1. DNL
    2. INL
    3. NMC
    4. offset error
    5. Gain error
  1. 理解ADC的原理:

    1. SAR ADC:采样和保持阶段。
    2. 因为Csh,CDAC
      1. 对Vref会有很高的动态范围。保持阶段,Csh在动作。
      2. 输入的管脚,如果直接接的Csh,会有稳定性的问题,要接Riso。最终的settling error 要小于1/2LSB
  1. 理解码

    1. LSB
    2. FSR
    3. 计算SNR
    4. 理解量化误差导致的SNR公式的推导
  1. 计算ADC的功耗:OPA两部分,ADC的保持阶段,ADC的数字通信部分
  2. 计算ADC的噪声:OPA的噪声,电阻的噪声,ADC的量化噪声,Vref的噪声
  3. 理解ADC的DC有效位数。理解噪声Vpp和RMS和∂的关系
  4. 理解anti aliasing
  5. 理解运放和ADC接口的Riso和C的作用
    1. 抗混叠
    2. 提供能量
    3. 减少稳态误差
    4. 提高环路稳定性
  1. 理解ADC设计时候的容差:

    1. 蒙特卡洛分析:
    2. 因为之前说的非线性参数。选定关键参数,选一个好的器件
    3. 因为温度对这些非线性参数的影响。温漂很难校准
    4. 因为老化的影响。定时校准
    5. 因为器件本身的噪声。选一个好的器件最重要
    6. 电路的合理性。如果电路要求很多电阻都要匹配,那么这个电路实际价值就不高
    7. 尽量选用高容差的器件,电阻高精度,低PPM
    8. ADC带有自校准。注意,他是把输入短路了,然后校准自己,单纯做这个其实没多大意义

1.4. 关键参数

dnl和inl:

1.4.1. 电气参数

  • 输入电容

    • 用于采样和转换
  • 输入漏电流

    • 类似于运放,需要一个工作电流
  • 输入阻抗

    • 一般的运放,接的是采样电容。因为大电流,所以运放的输出要接电容,引入了极点,要加Riso隔离
    • PGA内置了运放,所以有一定的输入阻抗
  • 输入电压:考虑这个参数要和运放输出的rail联合考虑

    • 工作输入电压:超过不正常工作
    • 绝对最大输入电压:超过可以会损坏ADC
  • 参考电压端

    • 注意:Vref是有高频脉冲信号的管脚,要用宽带宽的buffer输出。需要小心对待。
    • 参考电压端的电压:不是随意地,要有一个范围
    • 参考电压端的电流:要加一个电容续流

1.4.2. 纹波抑制

  • CMRR和 PSRR:都可以等效成输入端的误差,和Vos一样
  • CMRR
  • SNR:signal noise rejection
  • THD:total harmonic distortion

    • ADC采集运放输出的信号。输入的crossover distortion会影响THD。因为做成轨到轨,可能是两组NMOS在切换,他们的Vos不一样,导致输出波形失真。

      • 所以采用反向放大,可以稳定住Vcm
      • 采用0输入交越失真的OPA,可以用PMOS加电荷泵,显示单组MOS也可以输入到rail
    • <图裂>

1.4.3. 转换码参数

  • 理想的码:LSB
  • DNL:differential no-linear 差分非线性:一个台阶的宽窄
  • NMC:no missing code 丢码率
  • INL:integral no-linear:曲线弯
  • offset and gain error

2. ADC类型

  • 按照采样原理:
  • 按照输入端AINP和AINM的关系:4种

    • 单端:AINM接GND
    • 伪差分:AINM接Vref/2
    • 全差分:Vcm在Vref/2±0.1
    • 真差分:无限制
  • 按照输入电压范围:单极性和双极性

    • 单极性:只能输入正的电压。实际上Vdm也可以是负的
    • 双极性:正负电压都可以输入

2.1. Pipeline ADC

把flash ADC级联起来, 先用flash采集高5bit,然后用DAC输出,再采集下5bit

2.1.1. flash adc

内置了2N-1个比较器,和一系列的电阻分压。 只能做到5,6bits,快

2.2. SAR ADC

先放1/2 得到最高位的0还是1,然后再1/4 1/8 要关注的是SAR ADC的SH电容,在sample(acquisition)和hold(conversion)里都用了开关在切换,所以对Vin和Vref的影响都非常大。 所以这两个电压都要用宽带宽的驱动器,然后再接一个大电容。从而实现快速给Csh充电

  • 简单原理:

    • 有一个CDAC,第一次输出最高那位比较,输出0/1;
    • 然后比较次高,输出0/1
  • 复杂原理:

    • Csh分成1/2 1/4 1/8....并联
    • 判断MSB:
      • sample阶段:Csh都接Vin
      • conversion阶段:1/2Csh接了Vref,剩下的接了1/2Csh。用电容的电压分配原理,两个电容的中心点电压1/2Vref-Vin. 这样,后级的比较器就能输出MSB是 0还是1
    • 判断MSB-1:

      • 先把上个阶段的电放掉
      • sample阶段:Csh都接Vin
      • conversion阶段:1/2Csh的状态根据MSB,1/4接Vref。剩下的类似
    • 多少bit的ADC就要比较多少次。
    • 注意,MSB的电流最大,因为电容最大:
      • i=C*∂v/∂t 或者直接从容抗来考虑
  • SAR分类:

    • 内置运放的PGA,可以调节增益

      • 因为输入阻抗比较高,可以用跟随器直驱
    • 低成本的SAR:

      • 里面有开关电阻40欧,采样电容40pf。
      • 如果用跟随器直接驱动,会增加了一个极点,环路稳定性会有问题。所以要有Riso
        • 组成的RC网络功能:改善极点;低通抗混叠;C给后端的采样电容提供大电流
        • 貌似,使用一个高带宽的就可以直接驱动电容?

2.2.1. SAR ADC 总结

  • Vin

    • PSRR,对高频信号抵抗弱。
  • Vref

    • 大的瞬态电流驱动能力,因为要驱动容性负载
    • 低噪声,因为直接使用了Vref作为比较基准
    • 可以很快的Settling down,不然电容采集到的电压不准
    • 使用参考IC
    • 如果使用Buffer:
      • 如果Buffer的带宽不够,就是动态响应不够,那就就会导致波形失真,就会有很高的谐波,THD就会很差
      • 可能参考IC自带Buffer,可能ADC自带Buffer。或者IC的带宽很大,输出阻抗很低,那就不用Buffer
  • 误差校准:

2.2.2. 抗混叠

AFE前端的RC不是为了抗混叠的。可以从截止频率来分析。这个RC是为了提供大电容给后端采样的Csh使用。

  • 如果没有RC:

    • 运放可能会震荡,因为驱动了容性负载。同时运放的带宽也不够,输出会被拉低,采到的信号不准。
  • 抗混叠,使用的Rf和Cf

2.3. Δ∑ ADC

  1. 原理:

    1. 使用了一位的CDAC,里面还有积分器就是∑,Δ是输入和最开始的CDAC的0/1的差。经过积分器的不断加权后,就变高位了。
    1. 使用过采样:可以把白噪声的幅值变低,但是总量是不变的
    1. 先把模拟信号调制为数字信号,增加数字滤波器,滤除不要的高频

      1. 对白噪声是个高通
      2. 对有效信号是个低通
      1. 调制阶段:
      1. 数字滤波阶段:
        1. 数字滤波器的选择:
        2. sinc:
        1. flat:
  1. 制约参数

2.3.1. 采样和保持

  • 采样阶段:
  • 保持ref阶段:

    • Screenshot-2020-09-01 PM3.54.19.jpg
  • 同样也需要bulk cap

2.3.2. Vref设计

  • 和SAR ADC类似。不过这个ADC的Vref在一个周期内,只会采样一次。但是也是对电容充电,还是比较恶略的。

2.3.3. 噪声分析:

  1. 噪声包括AFE的运放噪声+ADC本身的噪声+Vref的噪声
  2. 貌似量化噪声被忽略了。
  1. 时钟的jitter也会影响SNR

2.3.4. 抗混叠设计

  • 配合内置的数字滤波器,在外面增加RC低通配合。注意fc的关系
  • 加了RC。如果C不匹配,就会把共模信号变成差模信号。为了抑制这个,要使Cdm大于10*Ccm,那么差模的抑制能力,可以把共模产生的差模吸收了。

2.4. SAR和Δ∑比较

  1. 什么时候采样
  1. 采样的延迟时间:
  1. SAR的优点:
  1. Δ∑的优点:

3. SNR THD

  • SNR:是信噪比。服从高斯分布的

    • SNR优化:满量程输出,因为失真的量是固定的
    • 从SNR退出噪声均方电压
      • 信号RMS:满量程/2*0.707
      • 噪声RMS:容易得
  • THD:是谐波失真。波特图上有明显的峰值,是基波的10次谐波

4. 容差分析:

  • offset误差+Gain误差:

    • offset误差:

      • 每个器件都有误差,器件本身决定的
    • 增益误差:

      • 电阻的精度
      • Aol不是无穷大,导致Auf也有误差
    • 最大误差和统计误差

      • 最大误差:就是把误差直接相加
      • 统计误差:多个独立的高斯分布函数相加,新的函数也是一个高斯分布。新的∂是∂的均方根值。一般取3个∂
    • 把这两个误差,都当成是线性方程。最终拟合也用线性方程。

      • 测试两个点,可以得到斜率和截距

        • 0V校准:现实中成本,太大。可以简单地默认斜率不变,输入短接测试截距。

          • 注意,要用双极性的ADC或者差分输入的,只要能测到负电压就行
    • 自校准ADC:只是校准ADC本身的offset
    • 无法校准的误差:
      • 温漂
      • 积分非线性,差分非线性
      • 老化
      • 温度迟滞
    • 蒙特卡洛分析:

      • 就是所有的参数都扫描一遍
    • 降低噪声

      • 低噪声的器件
      • 降低电阻
      • 降低带宽
      • 数字平均:针对多个独立的高斯分布
        • 噪声降低到原来的sqrt(N)
    • 例子:

      • 从SNR反推ADC的噪声RMS。

        • Vfsr_rms=满量程/2*0.707
      • 测试OPA的噪声的时候,输入信号不能为0,因为运放工作不起来

4.1. 误差校准:

基本原理:

4.2. ADC的SNR

  • 三方面:ADC量化误差+OPA白噪声+Vref

5. OPA和ADC接口

  • 关键参数:

    • R
    • C
    • 运放的带宽:
      • 如果不接RC,就要用高带宽的
    • 最终目标:在采样时间结束后,误差电压小于0.5LSB
  • ADC参数:

6. ADC的功耗计算

  • ADC的采样模式:

    • 固定的转换时间。固定的,内部决定,转换好了存起来。转换好CS才能拉低,同时采样时间不固定
    • 外部转换时钟。CS了之后,才开始转换,和SCLK同步
    • 其他。cs了之后,先采样,再转换
  • 功耗组成:

    • 运放:

      • Iq和输出端
    • ADC的模拟

      • 采样0耗能
      • 转换100%耗能
    • ADC的数字

      • 只有MISO脚耗能。P=VI=VCVN/f
  • 决定因素:

    • 采样率:采样率越高,OPA的带宽要更大,Iq就会很大。ADC的模拟和数字转换也耗能更多。

7. EOS

  • 三种器件:

    • 二极管:钳制住一定的过电压。

      • 如果信号持续比VCC高,就不能用
    • TVS
    • SCR:只有断电才能恢复

7.1. OPA和ADC电平不匹配

  • 加肖特基二极管,做电平钳制。

    • 但是需要限流电阻,太大了会导致settling time变长,误差超过半个LSB。最终就是SNR和THD很差

      • 解决方式:把限流电阻放到负反馈环路里,就相当于增加运放的Z0,但是对输出无影响

        • 问题:会改变环路稳定性,需要分析相位裕度。这个时候,不能简单的用1/ß去和Aol去相交了。不过也可以算出F把

8. FFT

  • FFT:

    • 锯齿波是奇次谐波的叠加
    • ADC的误差
      • 最少有量化误差噪声:6.02N+1.76。这个服从高斯分布,所以类似白噪声的底噪
      • 还有其他的非线性,如积分非线性,会带来高次谐波。这个就不是底噪的样子了
    • FFT的公式:
    • 采样频率fs——频谱还原出一半的频率。奈奎斯特采样定理
    • 采样点数N——分辨率。fs/N
    • 频谱泄露:
      • FFT之后,10.1khz的信号,不在频率的点上,就会导致10khz左右的频率都有信号
      • 改善:时域无限就行,N足够多,就肯定在点上
        • 但是时域波形不一定连续,所以要加窗口

          • 窗口:对主频不衰减,对旁瓣衰减。ADC用7阶-blackman
    • 抗混叠:

      • fs=10k,f=1khz和11khz采样到的波形是一样的。所以要抗混叠滤波,把高于1/2fs的信号都衰减到0.5个LSB
      • 注意:运放输出的RC不是为了滤波,是为了提供ADC采样时候的电流。也可以做成是抗混叠的
        • 如果没有这个RC,那么运放就要有更加大的增益带宽积,让采样保持电容充满电

9. FAQ

9.1. 仿真的工作点

交流分析和噪声分析,都要运放工作在线性区。
所以测试运放的开环增益的时候,使用双电源比较方便

9.2. Buffer的特性

9.3. 复合运放

前级的DC特性好,后级的带宽输出特性好

9.4. 带宽和容性负载

只有宽带宽的器件,才能更好地驱动容性负载。 就是要求能更快地给电容充上电,就相当于,要在高频段的驱动能力很强。 这个就是宽带宽的意义

9.5. OPA的Iq和其他参数的关系

静态电流越大:

  • BW越大
  • slew rate越大
  • 噪声越小
  • 功耗越大

9.6. 二极管和肖特基二极管

  • 肖特基二极管

    • Vf小 0.3v
    • 漏电流大,但是受温度影响小
    • 反向恢复时间快
  • 普通二极管
  • TVS
    • 快,功率大
    • Vr,漏电流很小的阶段,判断是否工作
    • Vbr,开始起保护的电压
    • 为什么使用单相TVS
    • 寄生二极管会随着电压变化,所以谐波会很大
    • 同时也会组成一个低通滤波,电容会变,截止频率也会变
  • zener

    • 稳压二极管,作为参考电压
    • 功率很小,可以一直反向工作

32f4怎样同时采集两个adc_硬件-TI-ADC相关推荐

  1. 32f4怎样同时采集两个adc_一个单片机ADC的挖坑填坑之旅

    欢迎FPGA工程师加入官方微信技术群 点击蓝字关注我们FPGA之家-中国最好最大的FPGA纯工程师社群 [导读] 本文来解析一个盆友在使用STM32采集电池电压踩过的坑.以STM32F4 的ADC属于 ...

  2. 两大开源硬件之树莓派与a_开源硬件之美

    两大开源硬件之树莓派与a Alicia Gibb现在是开放式硬件的代名词. 她去了图书馆学校,在那里他们教她信息自由和获取自由是最重要的. 从那时起,她就爱上了"开源",并最终通过 ...

  3. 滚动截屏苹果_苹果全球开发者大会最全汇总:平板推独立系统 两款新硬件亮相...

    点击上方"腾讯科技",选择"置顶公众号" 关键时刻,第一时间送 四分钟看完WWDC大会:苹果同时推新系统与硬件 来源 / 腾讯数码(ID:qqdigi) 欢迎下 ...

  4. PWM硬件触发ADC

    需要配置四个外设来实现PWM硬件触发ADC采样:eFlexPWM.ADC.XBARA.ADC_ETC eFlexPWM Enhanced Flex Pulse Width Modulator (eFl ...

  5. 5 多数据save_[Python] 通过采集两万条数据,对无名之辈影评分析

    点击上方"Python技术之巅",马上关注 真爱,请置顶或星标 一.说明 本文主要讲述采集猫眼电影用户评论进行分析,相关爬虫采集程序可以爬取多个电影评论. 运行环境:Win10/P ...

  6. [Python] 通过采集两万条数据,对《无名之辈》影评分析

    一.说明 本文主要讲述采集猫眼电影用户评论进行分析,相关爬虫采集程序可以爬取多个电影评论. 运行环境:Win10/Python3.5. 分析工具:jieba.wordcloud.pyecharts.m ...

  7. matlab如何采集两路语音信号,基于MATLAB的语音信号采集与处理

    内容介绍 原文档由会员 大雨倾盆 发布 基于MATLAB的语音信号采集与处理 2.4万字 114页 原创作品,已通过查重系统 摘要 语音信号处理作为目前发展最为迅速的信息科学研究领域的核心技术,它是一 ...

  8. 三菱FX以太网采集,MES系统采集,数据采集底层硬件方案,GX Works2以太网连接FX

    简介 BCNet-FX是一款经济型的以太网通讯处理器,是为满足日益增多的工厂设备信息化需求(设备网络监控和生产管理)而设计,用于三菱FX1S/1N/2N/3S/3G/3GA/3GC/3U/3UC系列. ...

  9. 基于python的影评数据分析_[Python] 通过采集两万条数据,对《无名之辈》影评分析...

    一.说明 本文主要讲述采集猫眼电影用户评论进行分析,相关爬虫采集程序可以爬取多个电影评论. 运行环境:Win10/Python3.5. 分析工具:jieba.wordcloud.pyecharts.m ...

  10. 惊艳!今年ChinaJoy上360旗下这两款智能硬件吸睛无数

    7月31日,第18届ChinaJoy大会在上海新国际博览中心举行,360智慧生活集团携一众"游戏+智能硬件"跨界产品惊艳亮相.其中,外形酷炫且能实现游戏加速的360家庭防火墙路由器 ...

最新文章

  1. 10款在线格式转化应用
  2. 青源 Forum | 人工智能的数理基础前沿系列报告 · 第 4 期
  3. MySQL双主配置步骤
  4. linux下安装glibc-2.14,解决“`GLIBC_2.14' not found”问题
  5. 网友问有没有小米MIX 4 雷军的回应耐人寻味
  6. 蓝桥杯2016年第七届C++省赛B组第二题-生日蜡烛
  7. Adobe驳斥Flash过度耗电论 称HTML5更耗电
  8. Zemax操作--9(全局坐标设定及使用方法)
  9. R语言-缺失值判断以及处理
  10. tao.Opengl
  11. Java输入1~12之间的整数,显示该月份的英语单词及这个月属第几季度。
  12. 金蝶外贸进出口行业解决方案(K/3 Cloud、EAS版)
  13. “.”和“->”的区别
  14. 电路级静电防护设计技巧与ESD防护方法
  15. c语言课程设计之简易计算器,简易计算器课程设计 帮忙写简单计算器课程设计...
  16. /home/www/easyadmin/vendor/topthink/think-helper/src/helper.php 报错处理
  17. javascript 过滤_功能性JavaScript中的过滤和链接
  18. VC++6.0 用gSoap客户端访问WebService
  19. 三维计算机动画,三维计算机动画的设计
  20. iOS 分析一次有意思的需求——HTML代码注入

热门文章

  1. hdu5258简单枚举
  2. jar 添加环境变量
  3. 点点滴滴——变量对象的产生
  4. POJ 3233 Matrix Power Series (矩阵快速幂和)
  5. NYOJ71-独木舟上的旅行
  6. Javascript中eval函数的用法
  7. linux目录与文件,Linux目录与文件基本操作
  8. java向注册表单传递数据php_form表单传递数组数据、php脚本接收的实例
  9. HashMap死循环讲解(JDK1.8 之前)
  10. 解决Xshell连接linux失败的问题