常用的testbench和matlab代码之读取和写入文本代码
1.matlab写入文本
因为modelsim没有区分有无符号,所以需要先将十进制有符号数进行量化再转化为二进制数,然后写入文本。(量化位数即输入的位数)代码如下:(请自行调整)

Q=16;%16bit量化
f_s=qam16/max(abs(qam16));%归一化处理
a=round(f_s*(2^(Q-1)-1));%量化
fid = fopen ('E:\FM\amatlab\datain.txt','w');%文本存放路径
for k=1:length(a)B_s=dec2bin(a(k)+(a(k)<0)*2^16,16);%16bit量化for j=1:16if B_s(j)=='1'tb=1;elsetb=0;endfprintf(fid,'%d',tb);  endfprintf(fid,'\r\n');
end
fprintf(fid,';');
fclose(fid);

2.matlab读取文本数据

fid=fopen('E:\FM\simulation\modelsim\Sn.txt','r');%文本存放路径
[s,N]=fscanf(fid,'%lg',inf);
N=N-1;
fclose(fid);
sn=s/max(abs(s))%归一化

3.testbench读取文本

parameter data_num=128000;//设置读取数据长度
integer Pattern;
reg signed [15:0] stimulusQ[1:data_num];
initial
begin//文件必须放置在"工程目录\simulation\modelsim"路径下$readmemb("Qm.txt",stimulusQ );  //文本数据写入矩阵Pattern=0;repeat(data_num)    //读入128000个数据beginPattern=Pattern+1;qm=stimulusQ[Pattern-1];      end#clk_priod*2$stop ;           //停止读取数据
end

4.testbench将仿真数据写入文本

integer file_Sn;
initial
begin//文件放置在"工程目录\simulation\modelsim"路径下                                                  file_Sn = $fopen("Sn.txt");
end
always @(posedge clk)
$fdisplay(file_Sn,"%d",Sn);//将数据Sn写入文本

常用的testbench和matlab代码之读取和写入文本代码相关推荐

  1. python读文件代码-Python读取表格类型文件代码实例

    这篇文章主要介绍了Python读取表格类型文件代码实例,文中通过示例代码介绍的非常详细,对大家的学习或者工作具有一定的参考学习价值,需要的朋友可以参考下 代码如下 resp = My_Request_ ...

  2. MATLAB可以进行多种文件操作,包括读取和写入文本、二进制和其他格式的文件,创建和删除文件夹等等

    常见的文件操作方法: 读取文本文件 使用 fopen 函数打开文件,然后使用 fscanf 函数读取文件中的数据.例如 fid = fopen('myfile.txt'); data = fscanf ...

  3. python代码大全p-python处理写入数据代码讲解

    首先要利用python进行读取整个文件,然后逐行读取,最后写入数据.具体实现步骤参考如下: 步骤一.读取整个文件 先在当前目录下创建一个TXT文件,例如文件名为'pi_digits.txt'的文本文件 ...

  4. Qt4_读取和写入文本

    虽然二进制文件格式比通常基于文本的格式更加紧凑,但是它们是机器语言,无法人工阅读或者编辑.在二进制文件格式无法适用的场合,可以使用文本格式来代替. Qt 提供了 QTextStream类读写纯文本文件 ...

  5. Verilog中testbench的设计,文件读取和写入操作

    1. 激励的产生 对于 testbench 而言,端口应当和被测试的 module 一一对应. 端口分为 input,output 和 inout 类型产生激励信号的时候, input 对应的端口应当 ...

  6. matlab实现图像读取、写入和显示

    1.图像的读取 使用imread()函数来读取一幅图像.例如,下行代码实现的就是一个名为"lena.jpg"的图像文件,并将图像的像素矩阵赋值给变量I.文件名需要用单引号括起来,此 ...

  7. C语言对文件的读取和写入操作代码

    #include <stdio.h>#define INint rwFile(IN char * orgnFile, IN char * outFile) {FILE *fpIN;FILE ...

  8. 浅谈NDS下GBA卡带烧录代码-1.基础的写入、读取代码

    早期的nds和后来的ndsl具有读取GBA卡带的功能,通过nds烧录卡启动一些nds自制软件可以完成对一些gba卡带的烧录功能,从而实现游戏更换. gba卡带游戏内容映射起点在0x8000000,记录 ...

  9. 用dict读取和写入表格_用Python快速处理表格,让你快人一步两步三四部

    日常工作中表格处理时非常令人头疼的一个部分, 概念 CSV (Comma Separated Values),即逗号分隔值(也称字符分隔值,因为分隔符可以不是逗号),是一种常用的文本 格式,用以存储表 ...

最新文章

  1. 计算机网络离不开光缆,九年级物理全册 第二十一章 第四节 越来越宽的信息之路习题课件 新人教版.ppt...
  2. 监管发文:规范大学生群体消费贷款,避免陷入消费贷款陷阱
  3. 【译】如何停止使用console.log()转而使用浏览器debugger
  4. 天池项目总结,特征工程了解一下!(文末送书)
  5. 从源码角度看Android系统init进程启动过程
  6. 读书笔记8-浪潮之巅(part3)
  7. python定时任务,隔月执行,隔定时执行
  8. 如何做好会员用户画像分析,比消费者本身更懂自己?
  9. Spark2.0.2+Zeppelin0.6.2 环境搭建 初探
  10. 【Java集合源代码剖析】TreeMap源代码剖析
  11. 如何解决VS2017打开安装包运行报错问题
  12. macos ntfs插件_NTFS for Mac 助手 - Mac读写NTFS磁盘工具
  13. Nginx学习笔记(七)——配置Nginx实现Gzip网页压缩、图片压缩
  14. CentOs7 中安装 guetzli 详细教程
  15. 树莓派25/100 - 显示自定义字符
  16. 数字签名和电子签名有什么不一样?
  17. bootstrap 按钮颜色 class=“btn btn-success“
  18. Catia V5-6R2016安装教程
  19. 在数据库中如何新增一个字段?
  20. 关于编程学习的心态与方法

热门文章

  1. seo查询工具源码_英文SEO站群建设(下):站群通过自我复制外链获得权重
  2. 如何解决word文档报错打不开呢?
  3. FPGA的设计艺术(7)STA实战之SmartTime时序约束及分析示例(II)
  4. 我的20条生活原则之01:任何事情都有两面性
  5. 关于使用外部电源供电舵机的所思所想
  6. 【Qt Linguist 进行中英文翻译】
  7. 今日头条实习面经--20180509
  8. python培训班排行榜-长沙python培训机构排名
  9. 免费服务器硬件资源管理工具,wgcloud 国人免费版服务器资源监控工具
  10. python给数组乘以某个数