今天有空,突发奇想想试试开源verilog仿真器,看看到底效果如何。
我用的是iverilog,  全名--ICARUS Verilog.

我的机器是ubuntu 16.04
iverilog的安装
sudo apt-get install iverilog
iverilog的删除,先不管这个
sudo apt-get remove iverilog

安装iverilog的时候,他还会建议再安装一个gtkwave包,那就都装上。
sudo apt-get install gtkwave
这时又自动装了这个libjudydebian1,不知道干什么用的。
gtkwave这个是用来看vcd波形的。
安装完后还没法直接用,退出再登录进来就可以了

安装好了以后看了下版本:
iverilog -v
Icarus Verilog version 0.9.7  (v0_9_7)
Copyright 1998-2010 Stephen Williams
               ~~~~~
好像比较老,时间居然是2010,汗~~~汗~~

gtkwave -v
GTKWave Analyzer v3.3.66 (w)1999-2015 BSI
这个新一点

后来我又在git上找了个地址
https://github.com/steveicarus/iverilog.git
这个估计比较新一点。看了看,编译安装有点小复杂,参考这里。

下面写了个小testbench--tb.v 小试一下小牛刀

module tb;reg       clk;
reg       rstn;
reg [5:0] cnt;always #5 clk = ~clk;always @(posedge clk or negedge rstn)beginif(!rstn)cnt <= 6'h0;elsecnt <= cnt + 6'h1;
endinitial beginclk  = 1'b0;rstn = 1'b1;#10;rstn = 1'b0;#10;rstn = 1'b1;$display("Hello ICARUS verilog!");#1000;$finish;
endalways @(cnt)begin$display("%0t, cnt=%d",$time,cnt);
endinitial
begin$dumpfile("tb.vcd");$dumpvars(0,tb);
endendmodule

编译是
iverilog tb.v
出来一个a.out,这跟gcc有点类似啊。
然后运行:
./a.out
仿真结果是:
VCD info: dumpfile tb.vcd opened for output.
10, cnt= 0
Hello ICARUS verilog!
25, cnt= 1
35, cnt= 2
45, cnt= 3
55, cnt= 4
65, cnt= 5
75, cnt= 6
85, cnt= 7
95, cnt= 8
105, cnt= 9
115, cnt=10
125, cnt=11
135, cnt=12
145, cnt=13
155, cnt=14
165, cnt=15
175, cnt=16
185, cnt=17
195, cnt=18
205, cnt=19
215, cnt=20
225, cnt=21
。。。。。

并生成一个波形tb.vcd

看波形
命令:
gtkwave tb.vcd

还可以嘛!

不过波形查看器里没有找到源代码窗口。

调试起来远远不如vcs+verdi方便,毕竟vcs是要money的。

用这个开源的仿真几个小模块还是够用啦。

tips:

大家都知道默认的vcd格式的波形还是很大的。我们可以生成别的小容量格式。

生成默认vcd格式, ./a.out

tb.vcd 大小 2755

生成lxt格式, ./a.out -lxt

tb.vcd 大小 276,只有原来十分之一,厉害

生成lxt2格式, ./a.out -lxt2

tb.vcd 大小 764,这个lxt2居然比lxt还要大不少,不清楚原因。。。

开源verilog仿真工具iverilog的安装与使用相关推荐

  1. 微软开源自动机器学习工具 – NNI安装与使用

    微软开源自动机器学习工具 – NNI安装与使用 NNI的众多特点 开启你的第一次NNI之旅 · 安装 · 三步准备实验 (1) 准备搜索空间 (2) 准备实验代码 (3)定义实验配置 · 一行命令开始 ...

  2. Linux下开源打包工具fpm的安装与使用(超详细)

    Linux下开源打包工具fpm的安装与使用 一.fpm概述 二.fpm的安装 1.安装ruby环境 2.安装fpm 三.fpm的使用 1.fpm常用参数 2.举例要求 3.准备目录 4.编写脚本文件 ...

  3. 开源资产管理软件OCS+GLPI安装配置

    开源资产管理软件OCS+GLPI安装配置 系统环境Centos 5.5 OCS中文包下载 Server ftp://linvinus.ru/ocs/1.3.2/OCSNG_UNIX_SERVER-1. ...

  4. 微软nni_微软开源自动机器学习工具NNI安装与使用

    微软开源自动机器学习工具NNI安装与使用 发布时间:2018-12-29 11:51, 浏览次数:951 , 标签: NNI 微软开源自动机器学习工具 – NNI安装与使用 在机器学习建模时,除了准备 ...

  5. 智慧党建云平台小程序 v2.4.9+前端(公众号+小程序一体)开源版源码下载安装教程

    智慧党建云平台(vlinke_fdcparty)v2.4.9小程序功能模块,源码带最新微信小程序前端,小程序主程序后端,播播资源网小编测试前后端正常使用!分享的版本是最新标准版模块,模块是目前比官方低 ...

  6. Github上开源仿京东商城项目-安装部署(二)

    Github上开源仿京东商城项目-安装部署(二) 2.用IntelliJ IDEA 2020打开项目,选择SuperMarket目录, 将会自动安装相关项目的依赖包,这个时间大约1个半小时. 3.安装 ...

  7. 【小白学云计算】xmpp开源服务器的配置和安装图文详解

    腾讯云技术社区-简书主页持续为大家呈现云计算技术文章,欢迎大家关注! 今天,我和同学一起开发一个软件的时候,想弄一个xmpp开源服务器来实现我们软件的通讯聊天,但是由于网上教程的不够详细和大部分教程都 ...

  8. 新视界V7聚合影音影视系统源码开源 Thinkphp内核后台 带安装说明

    简介: 新视界V7聚合影音影视系统源码开源,Thinkphp内核后台,带安装说明. 网盘下载地址: http://kekewangLuo.net/a909jNH6i4d0 图片:

  9. Ubuntu 安装开源微信(源码安装+release快速安装)

    安装linux微信: git clone https://github.com/geeeeeeeeek/electronic-wechat.git cd electronic-wechat npm i ...

最新文章

  1. 程序员必知的7种软件架构模式
  2. IDE---Gvim支持php的函数自动补全
  3. 一些有用的Python库
  4. 遗传和基因突变对神经网络训练的好处
  5. 博客阅读学习笔记-目录
  6. 藁城一中2021年高考成绩查询,2017藁城一中录取分数线及高考成绩情况
  7. Nutch的日志系统
  8. 牛客练习赛 64——错排
  9. C#实现WEB服务器
  10. loadrunner12 + ie11 无internet, 代码中文乱码
  11. Dropping tests
  12. 机器学习 之 客户分群案例
  13. 揭秘让您正确识别和处理恶意邮件的五种方法
  14. flutter 文字渐变和文字添加边框
  15. vi编辑器 末尾添加_linux下的VI编辑器使用手册
  16. The7主题使用心得交流
  17. 便宜制作服务器,组建私有云:除了购买NAS服务器外试试自己手工打造吧!
  18. 分享如何搭建手机工作室网络
  19. signature=530d9c5e7e99d796faa35352560aede4,Visual Detection of Volcanic Plumes
  20. Frequent Pattern Mining(频繁模式挖掘) - Aprior挖掘算法

热门文章

  1. sql server2012 分页查询语句
  2. #千锋逆战班,王富胜# 学如逆水行舟,不进则退。在千锋学习的第14天 笔记整理
  3. 照片后期制作计算机配置,追求色彩真实还原,图片后期处理电脑配置推荐
  4. 【重温C++ Primer】第一章、初识C++
  5. 大数据常用的软件工具有哪些?
  6. java 虚拟机(2)
  7. 【转】激励循环——加密算法如何实际修复现有激励循环
  8. 大班韵律机器人视频_大班韵律活动“机器人—星球漫步”
  9. 代码: 0x80131500:应用商店打不开
  10. 破解中国电信华为无线猫路由(HG522-C)自动拨号+不限电脑数+iTV