以十色等宽彩条做背景,将存储于 ROM 中的图片显示在 VGA 显示器上,
图片显示初始位置为有效显示区域左上角,图片沿着与水平方向 45 度夹角的方向,向右下角运动,运动过程中,当图片边沿触及显示区域边沿,图片向反方向运动,实现图片弹跳特效。图片分辨率为 100*100,VGA 显示模式为 640x480@60。

最终效果如下:










matlab代码如下:

WIDTH=16;
DEPTH=10000;ADDRESS_RADIX=UNS;
DATA_RADIX=HEX;CONTENTBEGIN0   :0  ;1  :0  ;2  :0  ;3  :0  ;4  :0  ;5  :0  ;6  :840    ;7  :1080   ;8  :1081   ;9  :1081   ;10 :1081   ;11 :1081   ;12 :1081   ;13 :1081   ;14 :1081   ;15 :1081   ;16 :1081   ;17 :1081   ;18 :1081   ;19 :1081   ;20 :1081   ;21 :1081   ;22 :1081   ;23 :1081   ;24 :1081   ;25 :1081   ;26 :1081   ;27 :1061   ;28 :1060   ;29 :1060   ;30 :1060   ;31 :1060   ;32 :1061   ;33 :1060   ;34 :860    ;35 :860    ;36 :1081   ;37 :1060   ;38 :1080   ;39 :1081   ;40 :1080   ;41 :1080   ;42 :1080   ;43 :1080   ;44 :1080   ;45 :1080   ;46 :1081   ;47 :10a0   ;48 :1080   ;49 :1081   ;50 :10a0   ;51 :10a0   ;52 :1080   ;53 :1080   ;54 :10a1   ;55 :1081   ;56 :1080   ;57 :1060   ;58 :1080   ;59 :1080   ;60 :1060   ;61 :1060   ;62 :860    ;63 :840    ;64 :840    ;65 :840    ;66 :840    ;67 :840    ;68 :860    ;69 :1060   ;70 :1060   ;71 :1081   ;72 :1060   ;73 :1060   ;74 :1060   ;75 :1060   ;76 :1060   ;77 :10a0   ;78 :10a1   ;79 :1081   ;80 :1081   ;81 :1081   ;82 :1081   ;83 :1080   ;84 :1060   ;85 :1080   ;86 :1060   ;87 :1060   ;88 :1081   ;89 :1060   ;90 :1060   ;91 :860    ;92 :1060   ;93 :840    ;94 :0  ;95 :0  ;96 :0  ;97 :0  ;98 :0  ;99 :0  ;100    :0  ;101    :0  ;102    :0  ;103    :0  ;104    :20e2   ;105    :7b85   ;106    :d5c9   ;107    :ff6c   ;108    :ffcd   ;109    :ffce   ;110    :ffce   ;111    :ffef   ;112    :ffef   ;113    :fff0   ;114    :fff0   ;115    :fff0   ;116    :fff0   ;117    :fff0   ;118    :fff1   ;119    :fff1   ;120    :fff1   ;121    :ffd1   ;122    :ffb0   ;123    :ff6f   ;124    :ff4f   ;125    :ff2e   ;126    :feed   ;127    :f6ad   ;128    :f68c   ;129    :ee6b   ;130    :ee2b   ;131    :e60a   ;132    :ddc9   ;133    :dd89   ;134    :d568   ;135    :d568   ;136    :dda8   ;137    :e609   ;138    :ee49   ;139    :f669   ;140    :fea9   ;141    :fee9   ;142    :ff09   ;143    :ff29   ;144    :ff4a   ;145    :ff4a   ;146    :ff8a   ;147    :ff69   ;148    :ff8a   ;149    :ff89   ;150    :ff8a   ;151    :ff8a   ;152    :ff8a   ;153    :ffaa   ;154    :ffa9   ;155    :ff89   ;156    :ff09   ;157    :f688   ;158    :ee27   ;159    :e5c6   ;160    :dd66   ;161    :d545   ;162    :d525   ;163    :cce5   ;164    :ccc4   ;165    :ccc4   ;166    :c4a4   ;167    :c4a4   ;168    :ccc5   ;169    :d506   ;170    :d546   ;171    :dd87   ;172    :e5c8   ;173    :ede8   ;174    :ee29   ;175    :f66a   ;176    :fe8a   ;177    :fecb   ;178    :ff0c   ;179    :ff2c   ;180    :ff6d   ;181    :ff6d   ;182    :ff4c   ;183    :ff0b   ;184    :fecb   ;185    :fe8a   ;186    :f66a   ;187    :ee29   ;188    :ee09   ;189    :e5e8   ;190    :e5a7   ;191    :dd67   ;192    :cd06   ;193    :9be5   ;194    :5a43   ;195    :1880   ;196    :0  ;197    :0  ;198    :0  ;199    :20 ;200    :0  ;201    :0  ;202    :0  ;203    :41e2   ;204    :d5c7   ;205    :ff8b   ;206    :ff2b   ;207    :ff0b   ;208    :ff0b   ;209    :ff0c   ;210    :ff0c   ;211    :ff0c   ;212    :ff2d   ;213    :ff2d   ;214    :ff2d   ;215    :ff2e   ;216    :ff2e   ;217    :ff2e   ;218    :ff2f   ;219    :ff2f   ;220    :ff2f   ;221    :ff2f   ;222    :ff2e   ;223    :f6ee   ;224    :eecd   ;225    :ee8c   ;226    :e66c   ;227    :e62b   ;228    :de0b   ;229    :ddca   ;230    :d5aa   ;231    :d589   ;232    :cd48   ;233    :c528   ;234    :c4e7   ;235    :bcc7   ;236    :bcc6   ;237    :c506   ;238    :cd47   ;239    :d587   ;240    :ddc8   ;241    :e608   ;242    :e628   ;243    :e648   ;244    :ee68   ;245    :ee88   ;246    :f6a8   ;247    :f6c8   ;248    :f6c8   ;249    :f6c8   ;250    :fee9   ;251    :f6e9   ;252    :fee8   ;253    :fee9   ;254    :fee8   ;255    :ff09   ;256    :f6e9   ;257    :ee67   ;258    :dde7   ;259    :d566   ;260    :cd25   ;261    :c4e5   ;262    :bca4   ;263    :bc64   ;264    :b444   ;265    :b424   ;266    :b424   ;267    :b403   ;268    :b424   ;269    :b444   ;270    :bc85   ;271    :c4c6   ;272    :c506   ;273    :cd47   ;274    :d588   ;275    :dda8   ;276    :dde9   ;277    :e609   ;278    :ee4a   ;279    :ee6b   ;280    :f6ac   ;281    :feec   ;282    :fecc   ;283    :f6ac   ;284    :f68b   ;285    :ee4a   ;286    :e62a   ;287    :e5e9   ;288    :dda9   ;289    :d588   ;290    :d567   ;291    :cd07   ;292    :c4e6   ;293    :c4e5   ;294    :cd05   ;295    :93a4   ;296    :3141   ;297    :0  ;298    :0  ;299    :0  ;300    :20 ;301    :0  ;302    :41c2   ;303    :e628   ;304    :ff29   ;305    :f6ea   ;306    :f6ea   ;307    :feea   ;308    :ff2e   ;309    :ff32   ;310    :ff53   ;311    :ff33   ;312    :ff33   ;313    :ff53   ;314    :ff53   ;315    :ff53   ;316    :ff53   ;317    :ff33   ;318    :ff53   ;319    :ff53   ;320    :ff53   ;321    :ff33   ;322    :ff33   ;323    :ff33   ;324    :ff32   ;325    :f732   ;326    :f712   ;327    :f712   ;328    :f711   ;329    :f6f1   ;330    :f6d0   ;331    :f6d0   ;332    :eeb0   ;333    :eeaf   ;334    :eeaf   ;335    :ee8f   ;336    :ee8e   ;337    :ee8e   ;338    :eead   ;339    :f6ad   ;340    :f6ad   ;341    :f6ad   ;342    :f6ad   ;343    :f6cc   ;344    :f6cc   ;345    :f6cc   ;346    :f6cb   ;347    :f6cb   ;348    :f6cb   ;349    :f6cb   ;350    :f6ab   ;351    :f6ab   ;352    :f6ab   ;353    :ee8a   ;354    :f68a   ;355    :ee6a   ;356    :ee6a   ;357    :ee4a   ;358    :e629   ;359    :e609   ;360    :dde9   ;361    :ddc8   ;362    :dda8   ;363    :d588   ;364    :dd88   ;365    :d568   ;366    :d567   ;367    :d547   ;368    :d547   ;369    :cd47   ;370    :d547   ;371    :cd47   ;372    :d527   ;373    :d547   ;374    :cd47   ;375    :cd47   ;376    :cd47   ;377    :cd47   ;378    :cd47   ;379    :d527   ;380    :cd47   ;381    :cd47   ;382    :cd47   ;383    :cd26   ;384    :cd06   ;385    :c506   ;386    :c4e6   ;387    :c4c6   ;388    :c4c5   ;389    :bca5   ;390    :c4a5   ;391    :c4e6   ;392    :cd06   ;393    :c4e6   ;394    :c4a5   ;395    :c4c4   ;396    :ac04   ;397    :3122   ;398    :0  ;399    :0  ;400    :0  ;401    :18c1   ;402    :c545   ;403    :f6a8   ;404    :f6a8   ;405    :f6c8   ;406    :ff0c   ;407    :ff54   ;408    :ff56   ;409    :ff55   ;410    :ff56   ;411    :ff55   ;412    :ff55   ;413    :ff55   ;414    :ff55   ;415    :ff55   ;416    :ff55   ;417    :ff34   ;418    :ff54   ;419    :ff34   ;420    :ff54   ;421    :ff54   ;422    :ff34   ;423    :ff54   ;424    :ff34   ;425    :ff34   ;426    :ff34   ;427    :ff53   ;428    :ff33   ;429    :ff33   ;430    :ff33   ;431    :ff32   ;432    :ff32   ;433    :ff52   ;434    :ff31   ;435    :ff31   ;436    :ff11   ;437    :ff10   ;438    :ff10   ;439    :ff10   ;440    :f70f   ;441    :ff0f   ;442    :feee   ;443    :feee   ;444    :feee   ;445    :feed   ;446    :f6ed   ;447    :fecc   ;448    :fecc   ;449    :feac   ;450    :f6ab   ;451    :d5cb   ;452    :e64b   ;453    :f68b   ;454    :f66b   ;455    :ee4a   ;456    :ee4b   ;457    :ee4a   ;458    :ee4a   ;459    :ee2a   ;460    :e60a   ;461    :e609   ;462    :e60a   ;463    :e5ea   ;464    :e5e9   ;465    :dde9   ;466    :ddc9   ;467    :ddc9   ;468    :dda9   ;469    :dda8   ;470    :d588   ;471    :d588   ;472    :d568   ;473    :d567   ;474    :d547   ;475    :cd47   ;476    :cd27   ;477    :cd27   ;478    :cd06   ;479    :c4e6   ;480    :c4e6   ;481    :c4c6   ;482    :c4c6   ;483    :c4a5   ;484    :bca5   ;485    :bca5   ;486    :bc85   ;487    :bc85   ;488    :bc85   ;489    :bc64   ;490    :b444   ;491    :b464   ;492    :b464   ;493    :c4c5   ;494    :c4e6   ;495    :bca5   ;496    :c4a5   ;497    :93a4   ;498    :1881   ;499    :0  ;500    :0  ;501    :7304   ;502    :f687   ;503    :ee47   ;504    :ee67   ;505    :f70d   ;506    :ff56   ;507    :ff56   ;508    :ff55   ;509    :ff55   ;510    :ff55   ;511    :ff55   ;512    :ff55   ;513    :ff55   ;514    :ff55   ;515    :ff55   ;516    :ff55   ;517    :ff55   ;518    :ff54   ;519    :ff54   ;520    :ff54   ;521    :ff34   ;522    :ff54   ;523    :ff34   ;524    :ff34   ;525    :ff34   ;526    :ff54   ;527    :ff54   ;528    :ff53   ;529    :ff33   ;530    :ff33   ;531    :ff33   ;532    :ff32   ;533    :ff12   ;534    :ff11   ;535    :ff11   ;536    :ff11   ;537    :ff10   ;538    :ff10   ;539    :ff10   ;540    :ff0f   ;541    :feef   ;542    :feef   ;543    :feee   ;544    :feee   ;545    :fecd   ;546    :feed   ;547    :fecd   ;548    :fecc   ;549    :f6cc   ;550    :fecc   ;551    :c56a   ;552    :acca   ;553    :feab   ;554    :ee6b   ;555    :f66b   ;556    :ee6b   ;557    :ee4a   ;558    :ee4b   ;559    :ee4a   ;560    :e62a   ;561    :e60a   ;562    :e609   ;563    :e609   ;564    :e5e9   ;565    :e5e9   ;566    :e5c9   ;567    :ddc9   ;568    :dda8   ;569    :dda8   ;570    :d588   ;571    :d588   ;572    :d588   ;573    :d567   ;574    :d567   ;575    :d547   ;576    :cd47   ;577    :cd27   ;578    :cd06   ;579    :cd06   ;580    :cd06   ;581    :c4e6   ;582    :c4e6   ;583    :c4c5   ;584    :c4c5   ;585    :c4c5   ;586    :bca5   ;587    :bca5   ;588    :bc85   ;589    :bc85   ;590    :bc64   ;591    :bc64   ;592    :b444   ;593    :b444   ;594    :c4a5   ;595    :c4c5   ;596    :bca5   ;597    :cce5   ;598    :5a42   ;599    :0  ;600    :820    ;601    :b4a5   ;602    :e606   ;603    :e606   ;604    :ee8a   ;605    :ff76   ;606    :ff55   ;607    :ff55   ;608    :ff55   ;609    :ff55   ;610    :ff55   ;611    :ff55   ;612    :ff55   ;613    :ff55   ;614    :ff55   ;615    :ff55   ;616    :ff55   ;617    :ff55   ;618    :ff55   ;619    :ff54   ;620    :ff54   ;621    :ff54   ;622    :ff54   ;623    :ff54   ;624    :ff54   ;625    :ff34   ;626    :ff54   ;627    :ff54   ;628    :ff34   ;629    :ff33   ;630    :ff33   ;631    :ff33   ;632    :ff32   ;633    :ff32   ;634    :ff32   ;635    :ff31   ;636    :ff11   ;637    :ff11   ;638    :ff10   ;639    :ff10   ;640    :ff10   ;641    :feef   ;642    :feef   ;643    :feef   ;644    :feee   ;645    :feee   ;646    :f6ed   ;647    :feed   ;648    :fecd   ;649    :f6cc   ;650    :feec   ;651    :d5cb   ;652    :83e9   ;653    :e64b   ;654    :f6ab   ;655    :f66b   ;656    :ee6b   ;657    :ee6b   ;658    :ee4a   ;659    :ee4a   ;660    :ee2a   ;661    :e62a   ;662    :e62a   ;663    :e5e9   ;664    :e5e9   ;665    :e5e9   ;666    :e5c9   ;667    :ddc9   ;668    :ddc9   ;669    :dda8   ;670    :d5a8   ;671    :d588   ;672    :d588   ;673    :d568   ;674    :d568   ;675    :d547   ;676    :d547   ;677    :cd47   ;678    :cd27   ;679    :cd27   ;680    :cd06   ;681    :cd06   ;682    :c4e6   ;683    :c4e6   ;684    :c4c6   ;685    :c4c6   ;686    :c4c5   ;687    :bca5   ;688    :bca5   ;689    :bc85   ;690    :bc85   ;691    :bc65   ;692    :b464   ;693    :b444   ;694    :b444   ;695    :c4e6   ;696    :c4c6   ;697    :c4a5   ;698    :9ba4   ;699    :21 ;700    :860    ;701    :ddc5   ;702    :d5a5   ;703    :ddc5   ;704    :ff33   ;705    :ff56   ;706    :ff55   ;707    :ff55   ;708    :ff55   ;709    :ff55   ;710    :ff55   ;711    :ff55   ;712    :ff55   ;713    :ff55   ;714    :ff55   ;715    :ff55   ;716    :ff55   ;717    :ff55   ;718    :ff55   ;719    :ff55   ;720    :ff54   ;721    :ff54   ;722    :ff54   ;723    :ff54   ;724    :ff54   ;725    :ff54   ;726    :ff54   ;727    :ff54   ;728    :ff34   ;729    :ff53   ;730    :ff33   ;731    :ff33   ;732    :ff33   ;733    :ff32   ;734    :ff32   ;735    :ff31   ;736    :ff11   ;737    :ff11   ;738    :ff11   ;739    :ff10   ;740    :f710   ;741    :feef   ;742    :f6ef   ;743    :feef   ;744    :feef   ;745    :feee   ;746    :f6ce   ;747    :f6ed   ;748    :feed   ;749    :fecd   ;750    :fecc   ;751    :ee8c   ;752    :7349   ;753    :b4ea   ;754    :fecc   ;755    :f68b   ;756    :f66b   ;757    :ee6b   ;758    :ee6b   ;759    :ee4a   ;760    :ee4a   ;761    :ee2a   ;762    :e62a   ;763    :e60a   ;764    :e609   ;765    :e5e9   ;766    :e5e9   ;767    :ddc9   ;768    :ddc9   ;769    :ddc9   ;770    :dda8   ;771    :dda8   ;772    :dd88   ;773    :d588   ;774    :d568   ;775    :d568   ;776    :d547   ;777    :d547   ;778    :cd47   ;779    :cd27   ;780    :cd26   ;781    :cd06   ;782    :cd06   ;783    :c4e6   ;784    :c4e6   ;785    :c4c6   ;786    :c4c6   ;787    :c4c5   ;788    :bca5   ;789    :bca5   ;790    :bc85   ;791    :bc85   ;792    :bc85   ;793    :bc64   ;794    :b444   ;795    :b464   ;796    :c4e6   ;797    :c4c5   ;798    :c4c6   ;799    :840    ;800    :1061   ;801    :e5c5   ;802    :d564   ;803    :e62a   ;804    :ff76   ;805    :ff55   ;806    :ff55   ;807    :ff55   ;808    :ff55   ;809    :ff55   ;810    :ff55   ;811    :ff55   ;812    :ff55   ;813    :ff55   ;814    :ff55   ;815    :ff55   ;816    :ff55   ;817    :ff55   ;818    :ff55   ;819    :ff55   ;820    :ff35   ;821    :ff54   ;822    :ff34   ;823    :ff54   ;824    :ff54   ;825    :ff54   ;826    :ff54   ;827    :ff54   ;828    :ff34   ;829    :ff34   ;830    :ff53   ;831    :ff33   ;832    :ff33   ;833    :ff33   ;834    :ff32   ;835    :ff32   ;836    :ff32   ;837    :ff11   ;838    :ff11   ;839    :ff11   ;840    :ff11   ;841    :ff10   ;842    :fef0   ;843    :feef   ;844    :feef   ;845    :feef   ;846    :feee   ;847    :feee   ;848    :f6cd   ;849    :feed   ;850    :fecd   ;851    :ff2d   ;852    :8bea   ;853    :62e9   ;854    :fecc   ;855    :f6ab   ;856    :f68b   ;857    :ee8b   ;858    :f66b   ;859    :ee4a   ;860    :ee4a   ;861    :ee4a   ;862    :ee2a   ;863    :e62a   ;864    :e60a   ;865    :e609   ;866    :e5e9   ;867    :e5e9   ;868    :dde9   ;869    :ddc9   ;870    :ddc8   ;871    :ddc8   ;872    :dd88   ;873    :dd88   ;874    :d588   ;875    :d568   ;876    :d568   ;877    :d547   ;878    :d547   ;879    :cd47   ;880    :cd27   ;881    :cd27   ;882    :cd07   ;883    :cd06   ;884    :c4e6   ;885    :c4e6   ;886    :c4c6   ;887    :c4c6   ;888    :c4c5   ;889    :bca5   ;890    :bca5   ;891    :bc85   ;892    :bc85   ;893    :bc64   ;894    :b464   ;895    :b444   ;896    :c4c6   ;897    :c4e6   ;898    :d546   ;899    :860    ;900    :1060   ;901    :dd84   ;902    :cd23   ;903    :eeaf   ;904    :ff56   ;905    :ff55   ;906    :ff55   ;907    :ff55   ;908    :ff55   ;909    :ff55   ;910    :ff55   ;911    :ff55   ;912    :ff55   ;913    :ff55   ;914    :ff55   ;915    :ff55   ;916    :ff55   ;917    :ff55   ;918    :ff55   ;919    :ff55   ;920    :ff55   ;921    :ff55   ;922    :ff54   ;923    :ff34   ;924    :ff34   ;925    :ff54   ;926    :ff54   ;927    :ff54   ;928    :ff54   ;929    :ff54   ;930    :ff34   ;931    :ff54   ;932    :ff53   ;933    :ff33   ;934    :ff33   ;935    :ff32   ;936    :ff32   ;937    :ff32   ;938    :ff11   ;939    :ff11   ;940    :ff11   ;941    :ff10   ;942    :ff10   ;943    :f6f0   ;944    :feef   ;945    :feef   ;946    :f6ee   ;947    :feef   ;948    :feee   ;949    :feed   ;950    :fecd   ;951    :ff0d   ;952    :acca   ;953    :31a8   ;954    :d5eb   ;955    :fecb   ;956    :f6ab   ;957    :ee8b   ;958    :ee8b   ;959    :ee6b   ;960    :ee6b   ;961    :ee4a   ;962    :ee4a   ;963    :e62a   ;964    :e62a   ;965    :e62a   ;966    :e609   ;967    :e5e9   ;968    :e5e9   ;969    :dde9   ;970    :ddc9   ;971    :ddc9   ;972    :dda9   ;973    :dda8   ;974    :dd88   ;975    :d588   ;976    :d568   ;977    :d568   ;978    :d567   ;979    :d547   ;980    :cd47   ;981    :cd27   ;982    :cd27   ;983    :cd06   ;984    :cd06   ;985    :c4e6   ;986    :c4e6   ;987    :c4e6   ;988    :c4c6   ;989    :c4c5   ;990    :bca5   ;991    :bca5   ;992    :bc85   ;993    :bc85   ;994    :bc65   ;995    :b464   ;996    :bca5   ;997    :cd27   ;998    :d546   ;999    :1060   ;1000   :1060   ;1001   :d564   ;1002   :c4e2   ;1003   :eef1   ;1004   :ff76   ;1005   :ff55   ;1006   :ff55   ;1007   :ff55   ;1008   :ff55   ;1009   :ff55   ;1010   :ff55   ;1011   :ff55   ;1012   :ff55   ;1013   :ff55   ;1014   :ff55   ;1015   :ff55   ;1016   :ff55   ;1017   :ff55   ;1018   :ff55   ;1019   :ff55   ;1020   :ff55   ;1021   :ff55   ;1022   :ff55   ;1023   :ff54   ;1024   :ff34   ;1025   :ff54   ;1026   :ff54   ;1027   :ff54   ;1028   :ff54   ;1029   :ff54   ;1030   :ff34   ;1031   :ff54   ;1032   :ff34   ;1033   :ff53   ;1034   :ff33   ;1035   :ff33   ;1036   :ff32   ;1037   :ff32   ;1038   :ff31   ;1039   :ff31   ;1040   :ff11   ;1041   :ff11   ;1042   :ff10   ;1043   :ff10   ;1044   :ff0f   ;1045   :f6ef   ;1046   :f6ef   ;1047   :feef   ;1048   :feee   ;1049   :fece   ;1050   :f6ee   ;1051   :ff0e   ;1052   :aceb   ;1053   :4229   ;1054   :7b8a   ;1055   :ff2c   ;1056   :f6ac   ;1057   :f68c   ;1058   :f68b   ;1059   :f68b   ;1060   :f66b   ;1061   :ee6b   ;1062   :ee4a   ;1063   :ee4a   ;1064   :ee4a   ;1065   :e62a   ;1066   :e62a   ;1067   :e609   ;1068   :e5e9   ;1069   :e5e9   ;1070   :dde9   ;1071   :ddc9   ;1072   :ddc9   ;1073   :dda9   ;1074   :dda8   ;1075   :dd88   ;1076   :d588   ;1077   :d568   ;1078   :d568   ;1079   :d567   ;1080   :cd47   ;1081   :cd47   ;1082   :cd27   ;1083   :cd27   ;1084   :cd06   ;1085   :cd06   ;1086   :c4e6   ;1087   :c4e6   ;1088   :c4e6   ;1089   :c4c6   ;1090   :c4c5   ;1091   :bca5   ;1092   :bca5   ;1093   :bc85   ;1094   :bc85   ;1095   :bc65   ;1096   :bc85   ;1097   :cd27   ;1098   :dd87   ;1099   :1061   ;1100   :1060   ;1101   :cd23   ;1102   :bcc2   ;1103   :eed1   ;1104   :ff56   ;1105   :ff55   ;1106   :ff55   ;1107   :ff55   ;1108   :ff55   ;1109   :ff55   ;1110   :ff55   ;1111   :ff55   ;1112   :ff55   ;1113   :ff55   ;1114   :ff55   ;1115   :ff55   ;1116   :ff55   ;1117   :ff55   ;1118   :ff55   ;1119   :ff55   ;1120   :ff55   ;1121   :ff55   ;1122   :ff55   ;1123   :ff55   ;1124   :ff34   ;1125   :ff54   ;1126   :ff54   ;1127   :ff54   ;1128   :ff54   ;1129   :ff54   ;1130   :ff54   ;1131   :ff54   ;1132   :ff54   ;1133   :ff53   ;1134   :ff33   ;1135   :ff33   ;1136   :ff33   ;1137   :ff32   ;1138   :ff32   ;1139   :ff32   ;1140   :ff11   ;1141   :ff11   ;1142   :ff11   ;1143   :ff10   ;1144   :ff10   ;1145   :ff10   ;1146   :feef   ;1147   :feef   ;1148   :feef   ;1149   :feef   ;1150   :f6ce   ;1151   :ff2d   ;1152   :aceb   ;1153   :4249   ;1154   :4a69   ;1155   :f6ac   ;1156   :fecc   ;1157   :feac   ;1158   :f6ab   ;1159   :f68b   ;1160   :f68b   ;1161   :ee6b   ;1162   :ee6b   ;1163   :ee4a   ;1164   :ee4a   ;1165   :ee2a   ;1166   :e62a   ;1167   :e62a   ;1168   :e609   ;1169   :e5e9   ;1170   :e5e9   ;1171   :dde9   ;1172   :e5c9   ;1173   :ddc9   ;1174   :dda9   ;1175   :dd88   ;1176   :dd88   ;1177   :d588   ;1178   :d568   ;1179   :d568   ;1180   :d567   ;1181   :d547   ;1182   :cd47   ;1183   :cd27   ;1184   :cd27   ;1185   :cd06   ;1186   :cd06   ;1187   :c4e6   ;1188   :c4e6   ;1189   :c4c6   ;1190   :c4c6   ;1191   :c4c5   ;1192   :c4a5   ;1193   :bca5   ;1194   :bc85   ;1195   :bc85   ;1196   :bca5   ;1197   :d568   ;1198   :dda7   ;1199   :1060   ;1200   :1060   ;1201   :cd02   ;1202   :bc81   ;1203   :eed1   ;1204   :ff76   ;1205   :ff55   ;1206   :ff55   ;1207   :ff55   ;1208   :ff55   ;1209   :ff55   ;1210   :ff55   ;1211   :ff55   ;1212   :ff55   ;1213   :ff55   ;1214   :ff55   ;1215   :ff55   ;1216   :ff55   ;1217   :ff55   ;1218   :ff55   ;1219   :ff55   ;1220   :ff55   ;1221   :ff55   ;1222   :ff55   ;1223   :ff55   ;1224   :ff35   ;1225   :ff54   ;1226   :ff54   ;1227   :ff54   ;1228   :ff34   ;1229   :ff54   ;1230   :ff54   ;1231   :ff54   ;1232   :ff54   ;1233   :ff34   ;1234   :ff33   ;1235   :ff33   ;1236   :ff33   ;1237   :ff33   ;1238   :ff32   ;1239   :ff32   ;1240   :ff31   ;1241   :ff11   ;1242   :ff11   ;1243   :ff11   ;1244   :ff10   ;1245   :f710   ;1246   :ff0f   ;1247   :f6ef   ;1248   :f6ef   ;1249   :feef   ;1250   :feee   ;1251   :ff0e   ;1252   :b50b   ;1253   :4a48   ;1254   :4a4a   ;1255   :bd2b   ;1256   :ff0c   ;1257   :f6ac   ;1258   :f6ac   ;1259   :f6ab   ;1260   :f68b   ;1261   :f68b   ;1262   :f66b   ;1263   :ee4a   ;1264   :ee4a   ;1265   :ee4a   ;1266   :ee2a   ;1267   :e62a   ;1268   :e62a   ;1269   :e609   ;1270   :e5e9   ;1271   :e5e9   ;1272   :ddc9   ;1273   :ddc9   ;1274   :ddc9   ;1275   :dda9   ;1276   :dd88   ;1277   :dd88   ;1278   :d588   ;1279   :d568   ;1280   :d567   ;1281   :d567   ;1282   :d547   ;1283   :cd47   ;1284   :cd27   ;1285   :cd27   ;1286   :cd06   ;1287   :cd06   ;1288   :c4e6   ;1289   :c4e6   ;1290   :c4c6   ;1291   :c4c6   ;1292   :c4c5   ;1293   :bca5   ;1294   :bca5   ;1295   :bc85   ;1296   :c4c6   ;1297   :d588   ;1298   :e5c8   ;1299   :1060   ;1300   :860    ;1301   :c4c2   ;1302   :b461   ;1303   :eed1   ;1304   :ff56   ;1305   :ff55   ;1306   :ff55   ;1307   :ff55   ;1308   :ff55   ;1309   :ff55   ;1310   :ff55   ;1311   :ff55   ;1312   :ff55   ;1313   :ff55   ;1314   :ff55   ;1315   :ff55   ;1316   :ff55   ;1317   :ff55   ;1318   :ff55   ;1319   :ff55   ;1320   :ff55   ;1321   :ff55   ;1322   :ff55   ;1323   :ff55   ;1324   :ff55   ;1325   :ff55   ;1326   :ff34   ;1327   :ff54   ;1328   :ff54   ;1329   :ff54   ;1330   :ff54   ;1331   :ff54   ;1332   :ff34   ;1333   :ff54   ;1334   :ff34   ;1335   :ff54   ;1336   :ff53   ;1337   :ff33   ;1338   :ff33   ;1339   :ff32   ;1340   :ff32   ;1341   :ff31   ;1342   :ff31   ;1343   :ff11   ;1344   :ff11   ;1345   :ff10   ;1346   :fef0   ;1347   :fef0   ;1348   :ff0f   ;1349   :f6ef   ;1350   :feee   ;1351   :ff2f   ;1352   :a4ab   ;1353   :4208   ;1354   :4a4a   ;1355   :83cb   ;1356   :ff2d   ;1357   :fecc   ;1358   :f6ac   ;1359   :f6ac   ;1360   :f68b   ;1361   :f68b   ;1362   :ee6b   ;1363   :ee6b   ;1364   :ee6b   ;1365   :ee4a   ;1366   :ee4a   ;1367   :ee4a   ;1368   :e62a   ;1369   :e629   ;1370   :e5e9   ;1371   :e5e9   ;1372   :e5e9   ;1373   :ddc9   ;1374   :ddc9   ;1375   :ddc9   ;1376   :dda8   ;1377   :dda8   ;1378   :dd88   ;1379   :d588   ;1380   :d568   ;1381   :d568   ;1382   :d547   ;1383   :d547   ;1384   :cd47   ;1385   :cd27   ;1386   :cd27   ;1387   :cd06   ;1388   :cd06   ;1389   :c4e6   ;1390   :c4e6   ;1391   :c4e6   ;1392   :c4c5   ;1393   :bca5   ;1394   :bca5   ;1395   :bca5   ;1396   :c4c6   ;1397   :ddc8   ;1398   :ede8   ;1399   :1060   ;1400   :840    ;1401   :c4a1   ;1402   :b420   ;1403   :eeb1   ;1404   :ff76   ;1405   :ff55   ;1406   :ff55   ;1407   :ff55   ;1408   :ff55   ;1409   :ff55   ;1410   :ff55   ;1411   :ff55   ;1412   :ff55   ;1413   :ff55   ;1414   :ff55   ;1415   :ff55   ;1416   :ff55   ;1417   :ff55   ;1418   :ff55   ;1419   :ff55   ;1420   :ff55   ;1421   :ff55   ;1422   :ff55   ;1423   :ff55   ;1424   :ff55   ;1425   :ff55   ;1426   :ff35   ;1427   :ff54   ;1428   :ff54   ;1429   :ff54   ;1430   :ff54   ;1431   :ff54   ;1432   :ff54   ;1433   :ff54   ;1434   :ff34   ;1435   :ff34   ;1436   :ff54   ;1437   :ff33   ;1438   :ff33   ;1439   :ff33   ;1440   :ff32   ;1441   :ff32   ;1442   :ff32   ;1443   :ff11   ;1444   :ff11   ;1445   :ff11   ;1446   :ff11   ;1447   :ff10   ;1448   :ff10   ;1449   :f70f   ;1450   :feef   ;1451   :ff2f   ;1452   :942b   ;1453   :39e8   ;1454   :4a6a   ;1455   :6b2b   ;1456   :ee8d   ;1457   :feec   ;1458   :f6cc   ;1459   :f6cc   ;1460   :f6ac   ;1461   :f6ab   ;1462   :f68b   ;1463   :ee8b   ;1464   :ee6b   ;1465   :ee6b   ;1466   :ee4a   ;1467   :ee4a   ;1468   :ee4a   ;1469   :e62a   ;1470   :e60a   ;1471   :e609   ;1472   :e5e9   ;1473   :e5e9   ;1474   :dde9   ;1475   :ddc9   ;1476   :ddc9   ;1477   :ddc8   ;1478   :dda8   ;1479   :dd88   ;1480   :d588   ;1481   :d568   ;1482   :d568   ;1483   :d567   ;1484   :d547   ;1485   :cd47   ;1486   :cd27   ;1487   :cd27   ;1488   :cd06   ;1489   :cd06   ;1490   :c4e6   ;1491   :c4e6   ;1492   :c4e6   ;1493   :c4c6   ;1494   :c4c5   ;1495   :bca5   ;1496   :c4e6   ;1497   :dde9   ;1498   :ee29   ;1499   :1060   ;1500   :840    ;1501   :bc60   ;1502   :ac00   ;1503   :eeb1   ;1504   :ff56   ;1505   :ff55   ;1506   :ff55   ;1507   :ff55   ;1508   :ff55   ;1509   :ff55   ;1510   :ff55   ;1511   :ff55   ;1512   :ff55   ;1513   :ff55   ;1514   :ff55   ;1515   :ff55   ;1516   :ff55   ;1517   :ff55   ;1518   :ff55   ;1519   :ff55   ;1520   :ff55   ;1521   :ff55   ;1522   :ff55   ;1523   :ff55   ;1524   :ff55   ;1525   :ff55   ;1526   :ff55   ;1527   :ff55   ;1528   :ff54   ;1529   :ff54   ;1530   :ff54   ;1531   :ff54   ;1532   :ff54   ;1533   :ff54   ;1534   :ff54   ;1535   :ff34   ;1536   :ff34   ;1537   :ff53   ;1538   :ff33   ;1539   :ff33   ;1540   :ff33   ;1541   :ff32   ;1542   :ff32   ;1543   :ff32   ;1544   :ff11   ;1545   :ff11   ;1546   :ff11   ;1547   :ff10   ;1548   :ff10   ;1549   :ff10   ;1550   :ff0f   ;1551   :eeaf   ;1552   :736a   ;1553   :4208   ;1554   :4a6a   ;1555   :5aeb   ;1556   :cdad   ;1557   :feed   ;1558   :f6cc   ;1559   :fecc   ;1560   :f6ac   ;1561   :f6ab   ;1562   :f6ab   ;1563   :f68b   ;1564   :ee8b   ;1565   :ee6b   ;1566   :ee4a   ;1567   :ee4a   ;1568   :ee4a   ;1569   :ee2a   ;1570   :e62a   ;1571   :e60a   ;1572   :e609   ;1573   :e5e9   ;1574   :e5e9   ;1575   :dde9   ;1576   :ddc9   ;1577   :ddc9   ;1578   :dda8   ;1579   :dda8   ;1580   :dd88   ;1581   :d588   ;1582   :d568   ;1583   :d568   ;1584   :d547   ;1585   :d547   ;1586   :cd47   ;1587   :cd27   ;1588   :cd27   ;1589   :cd07   ;1590   :cd06   ;1591   :c4e6   ;1592   :c4e6   ;1593   :c4c6   ;1594   :c4c6   ;1595   :bca5   ;1596   :cce6   ;1597   :e609   ;1598   :f649   ;1599   :1060   ;1600   :840    ;1601   :bc40   ;1602   :a3c0   ;1603   :eeb1   ;1604   :ff76   ;1605   :ff55   ;1606   :ff55   ;1607   :ff55   ;1608   :ff55   ;1609   :ff55   ;1610   :ff55   ;1611   :ff55   ;1612   :ff55   ;1613   :ff55   ;1614   :ff55   ;1615   :ff55   ;1616   :ff55   ;1617   :ff55   ;1618   :ff55   ;1619   :ff55   ;1620   :ff55   ;1621   :ff55   ;1622   :ff55   ;1623   :ff55   ;1624   :ff55   ;1625   :ff55   ;1626   :ff55   ;1627   :ff55   ;1628   :ff55   ;1629   :ff54   ;1630   :ff34   ;1631   :ff54   ;1632   :ff54   ;1633   :ff54   ;1634   :ff54   ;1635   :ff54   ;1636   :ff34   ;1637   :ff34   ;1638   :ff53   ;1639   :ff33   ;1640   :ff33   ;1641   :ff33   ;1642   :ff32   ;1643   :ff32   ;1644   :ff32   ;1645   :ff31   ;1646   :ff11   ;1647   :ff11   ;1648   :ff11   ;1649   :ff10   ;1650   :ff10   ;1651   :de0e   ;1652   :5aa9   ;1653   :4228   ;1654   :5289   ;1655   :52aa   ;1656   :b50d   ;1657   :ff0d   ;1658   :f6cd   ;1659   :fecc   ;1660   :f6ac   ;1661   :f6ac   ;1662   :f6ac   ;1663   :f6ab   ;1664   :f68b   ;1665   :ee8b   ;1666   :ee6b   ;1667   :ee6b   ;1668   :ee4a   ;1669   :ee4a   ;1670   :ee2a   ;1671   :e62a   ;1672   :e60a   ;1673   :e609   ;1674   :e5e9   ;1675   :e5e9   ;1676   :dde9   ;1677   :ddc9   ;1678   :ddc9   ;1679   :dda9   ;1680   :dd88   ;1681   :dd88   ;1682   :d588   ;1683   :d568   ;1684   :d568   ;1685   :d567   ;1686   :d547   ;1687   :cd47   ;1688   :cd27   ;1689   :cd27   ;1690   :cd06   ;1691   :cd06   ;1692   :c4e6   ;1693   :c4e6   ;1694   :c4c6   ;1695   :c4c5   ;1696   :cd06   ;1697   :e62a   ;1698   :f66a   ;1699   :1060   ;1700   :840    ;1701   :b400   ;1702   :a3a0   ;1703   :ee90   ;1704   :ff76   ;1705   :ff55   ;1706   :ff55   ;1707   :ff55   ;1708   :ff55   ;1709   :ff55   ;1710   :ff55   ;1711   :ff55   ;1712   :ff55   ;1713   :ff55   ;1714   :ff55   ;1715   :ff55   ;1716   :ff55   ;1717   :ff55   ;1718   :ff55   ;1719   :ff55   ;1720   :ff55   ;1721   :ff55   ;1722   :ff55   ;1723   :ff55   ;1724   :ff55   ;1725   :ff55   ;1726   :ff55   ;1727   :ff55   ;1728   :ff55   ;1729   :ff55   ;1730   :ff34   ;1731   :ff54   ;1732   :ff54   ;1733   :ff34   ;1734   :ff54   ;1735   :ff54   ;1736   :ff54   ;1737   :ff34   ;1738   :ff34   ;1739   :ff54   ;1740   :ff33   ;1741   :ff33   ;1742   :ff33   ;1743   :ff32   ;1744   :ff32   ;1745   :ff31   ;1746   :ff11   ;1747   :ff11   ;1748   :ff11   ;1749   :ff10   ;1750   :ff30   ;1751   :b52d   ;1752   :39c7   ;1753   :4a28   ;1754   :528a   ;1755   :528a   ;1756   :a4ad   ;1757   :ff2e   ;1758   :fecd   ;1759   :fecd   ;1760   :f6cc   ;1761   :fecc   ;1762   :f6ac   ;1763   :f6ab   ;1764   :f6ab   ;1765   :f68b   ;1766   :f68b   ;1767   :ee6b   ;1768   :ee6b   ;1769   :ee4a   ;1770   :ee4a   ;1771   :ee2a   ;1772   :e62a   ;1773   :e60a   ;1774   :e609   ;1775   :e5e9   ;1776   :e5e9   ;1777   :ddc9   ;1778   :ddc9   ;1779   :ddc9   ;1780   :dda9   ;1781   :dd88   ;1782   :dd88   ;1783   :d588   ;1784   :d568   ;1785   :d567   ;1786   :d567   ;1787   :cd47   ;1788   :cd47   ;1789   :cd27   ;1790   :cd26   ;1791   :cd06   ;1792   :cd06   ;1793   :c4e6   ;1794   :c4e6   ;1795   :c4c6   ;1796   :cd27   ;1797   :ee6a   ;1798   :fe8a   ;1799   :1060   ;1800   :840    ;1801   :abe0   ;1802   :9b60   ;1803   :ee90   ;1804   :ff76   ;1805   :ff55   ;1806   :ff55   ;1807   :ff55   ;1808   :ff55   ;1809   :ff55   ;1810   :ff55   ;1811   :ff55   ;1812   :ff55   ;1813   :ff55   ;1814   :ff55   ;1815   :ff55   ;1816   :ff55   ;1817   :ff55   ;1818   :ff55   ;1819   :ff55   ;1820   :ff55   ;1821   :ff55   ;1822   :ff55   ;1823   :ff55   ;1824   :ff55   ;1825   :ff55   ;1826   :ff55   ;1827   :ff55   ;1828   :ff55   ;1829   :ff55   ;1830   :ff35   ;1831   :ff34   ;1832   :ff54   ;1833   :ff54   ;1834   :ff34   ;1835   :ff54   ;1836   :ff54   ;1837   :ff54   ;1838   :ff54   ;1839   :ff34   ;1840   :ff54   ;1841   :ff33   ;1842   :ff33   ;1843   :ff33   ;1844   :ff32   ;1845   :ff32   ;1846   :ff12   ;1847   :ff12   ;1848   :ff31   ;1849   :ff31   ;1850   :f6f0   ;1851   :6309   ;1852   :39c7   ;1853   :4a49   ;1854   :528a   ;1855   :528a   ;1856   :942c   ;1857   :f6ce   ;1858   :feed   ;1859   :fecd   ;1860   :f6cd   ;1861   :fecc   ;1862   :f6ac   ;1863   :f6ac   ;1864   :f6ac   ;1865   :f6ab   ;1866   :f68b   ;1867   :f68b   ;1868   :f66b   ;1869   :ee4a   ;1870   :ee4a   ;1871   :ee4a   ;1872   :e62a   ;1873   :e62a   ;1874   :e62a   ;1875   :e609   ;1876   :e5e9   ;1877   :e5e9   ;1878   :ddc9   ;1879   :e5c9   ;1880   :ddc9   ;1881   :dda9   ;1882   :dda8   ;1883   :dd88   ;1884   :d588   ;1885   :d568   ;1886   :d568   ;1887   :d567   ;1888   :d547   ;1889   :cd47   ;1890   :cd27   ;1891   :cd27   ;1892   :cd06   ;1893   :cd06   ;1894   :c506   ;1895   :c4c6   ;1896   :cd27   ;1897   :f68b   ;1898   :feab   ;1899   :1080   ;1900   :840    ;1901   :a3a0   ;1902   :9340   ;1903   :e670   ;1904   :ff76   ;1905   :ff55   ;1906   :ff55   ;1907   :ff55   ;1908   :ff55   ;1909   :ff55   ;1910   :ff55   ;1911   :ff55   ;1912   :ff55   ;1913   :ff55   ;1914   :ff55   ;1915   :ff55   ;1916   :ff55   ;1917   :ff55   ;1918   :ff55   ;1919   :ff55   ;1920   :ff55   ;1921   :ff55   ;1922   :ff55   ;1923   :ff55   ;1924   :ff55   ;1925   :ff55   ;1926   :ff55   ;1927   :ff55   ;1928   :ff55   ;1929   :ff55   ;1930   :ff55   ;1931   :ff55   ;1932   :ff54   ;1933   :ff54   ;1934   :ff54   ;1935   :ff54   ;1936   :ff54   ;1937   :ff54   ;1938   :ff54   ;1939   :ff34   ;1940   :ff54   ;1941   :ff54   ;1942   :ff53   ;1943   :ff33   ;1944   :ff33   ;1945   :ff32   ;1946   :ff31   ;1947   :ff32   ;1948   :ff11   ;1949   :ff52   ;1950   :c56e   ;1951   :2966   ;1952   :4208   ;1953   :4229   ;1954   :528a   ;1955   :52aa   ;1956   :8c0c   ;1957   :f6ce   ;1958   :feee   ;1959   :feed   ;1960   :feed   ;1961   :fecd   ;1962   :fecc   ;1963   :f6cc   ;1964   :f6cc   ;1965   :f6ac   ;1966   :f6ab   ;1967   :f68b   ;1968   :f68b   ;1969   :ee6b   ;1970   :ee6b   ;1971   :ee4a   ;1972   :ee4a   ;1973   :ee2a   ;1974   :e62a   ;1975   :e60a   ;1976   :e609   ;1977   :e5e9   ;1978   :e5e9   ;1979   :dde9   ;1980   :ddc9   ;1981   :ddc9   ;1982   :dda9   ;1983   :dda8   ;1984   :dd88   ;1985   :d588   ;1986   :d568   ;1987   :d567   ;1988   :d567   ;1989   :d547   ;1990   :cd47   ;1991   :cd27   ;1992   :cd27   ;1993   :cd06   ;1994   :cd06   ;1995   :c4e6   ;1996   :d547   ;1997   :f6ab   ;1998   :feeb   ;1999   :1080   ;2000   :840    ;2001   :a380   ;2002   :9300   ;2003   :e670   ;2004   :ff76   ;2005   :ff55   ;2006   :ff55   ;2007   :ff55   ;2008   :ff55   ;2009   :ff55   ;2010   :ff55   ;2011   :ff55   ;2012   :ff55   ;2013   :ff55   ;2014   :ff55   ;2015   :ff55   ;2016   :ff55   ;2017   :ff55   ;2018   :ff55   ;2019   :ff55   ;2020   :ff55   ;2021   :ff55   ;2022   :ff55   ;2023   :ff55   ;2024   :ff55   ;2025   :ff55   ;2026   :ff55   ;2027   :ff55   ;2028   :ff55   ;2029   :ff55   ;2030   :ff55   ;2031   :ff55   ;2032   :ff55   ;2033   :ff34   ;2034   :ff54   ;2035   :ff54   ;2036   :ff54   ;2037   :ff54   ;2038   :ff54   ;2039   :ff54   ;2040   :ff54   ;2041   :ff54   ;2042   :ff33   ;2043   :ff53   ;2044   :ff33   ;2045   :ff33   ;2046   :ff32   ;2047   :ff32   ;2048   :ff32   ;2049   :ff52   ;2050   :4227   ;2051   :31a7   ;2052   :4208   ;2053   :4a49   ;2054   :528a   ;2055   :528a   ;2056   :8c2c   ;2057   :f6ce   ;2058   :feee   ;2059   :feee   ;2060   :feed   ;2061   :feed   ;2062   :fecd   ;2063   :f6cc   ;2064   :f6cc   ;2065   :fecc   ;2066   :f6ac   ;2067   :f68b   ;2068   :f68b   ;2069   :ee8b   ;2070   :ee6b   ;2071   :ee6b   ;2072   :ee4a   ;2073   :ee4a   ;2074   :ee4a   ;2075   :e62a   ;2076   :e609   ;2077   :e609   ;2078   :e5e9   ;2079   :e5e9   ;2080   :ddc9   ;2081   :ddc9   ;2082   :ddc9   ;2083   :dda8   ;2084   :dda8   ;2085   :dd88   ;2086   :d588   ;2087   :d568   ;2088   :d568   ;2089   :d547   ;2090   :d547   ;2091   :cd47   ;2092   :cd27   ;2093   :cd27   ;2094   :cd06   ;2095   :c4e6   ;2096   :d547   ;2097   :f6cc   ;2098   :ff0c   ;2099   :1080   ;2100   :840    ;2101   :b422   ;2102   :8ac0   ;2103   :e670   ;2104   :ff76   ;2105   :ff55   ;2106   :ff55   ;2107   :ff55   ;2108   :ff55   ;2109   :ff55   ;2110   :ff55   ;2111   :ff55   ;2112   :ff55   ;2113   :ff55   ;2114   :ff55   ;2115   :ff55   ;2116   :ff55   ;2117   :ff55   ;2118   :ff55   ;2119   :ff55   ;2120   :ff55   ;2121   :ff55   ;2122   :ff55   ;2123   :ff55   ;2124   :ff55   ;2125   :ff55   ;2126   :ff55   ;2127   :ff55   ;2128   :ff55   ;2129   :ff55   ;2130   :ff55   ;2131   :ff55   ;2132   :ff55   ;2133   :ff35   ;2134   :ff54   ;2135   :ff54   ;2136   :ff54   ;2137   :ff54   ;2138   :ff54   ;2139   :ff54   ;2140   :ff34   ;2141   :ff34   ;2142   :ff54   ;2143   :ff53   ;2144   :ff53   ;2145   :ff33   ;2146   :ff33   ;2147   :ff33   ;2148   :ff93   ;2149   :8c2b   ;2150   :2125   ;2151   :39e7   ;2152   :4208   ;2153   :4a49   ;2154   :5289   ;2155   :528a   ;2156   :8c2c   ;2157   :f6cf   ;2158   :feef   ;2159   :feee   ;2160   :feee   ;2161   :fecd   ;2162   :fecd   ;2163   :fecd   ;2164   :fecc   ;2165   :f6cc   ;2166   :f6ac   ;2167   :f6ab   ;2168   :f6ab   ;2169   :f68b   ;2170   :ee8b   ;2171   :ee6b   ;2172   :ee4a   ;2173   :ee4a   ;2174   :ee4a   ;2175   :ee2a   ;2176   :e62a   ;2177   :e62a   ;2178   :e609   ;2179   :e5e9   ;2180   :e5e9   ;2181   :dde9   ;2182   :ddc9   ;2183   :ddc9   ;2184   :dda8   ;2185   :dda8   ;2186   :dd88   ;2187   :d588   ;2188   :d568   ;2189   :d568   ;2190   :d547   ;2191   :d547   ;2192   :cd47   ;2193   :cd27   ;2194   :cd27   ;2195   :cd06   ;2196   :d568   ;2197   :fecc   ;2198   :ff2c   ;2199   :1081   ;2200   :1081   ;2201   :d567   ;2202   :8b00   ;2203   :e650   ;2204   :ff76   ;2205   :ff55   ;2206   :ff55   ;2207   :ff55   ;2208   :ff55   ;2209   :ff55   ;2210   :ff55   ;2211   :ff55   ;2212   :ff55   ;2213   :ff55   ;2214   :ff55   ;2215   :ff55   ;2216   :ff55   ;2217   :ff55   ;2218   :ff55   ;2219   :ff55   ;2220   :ff55   ;2221   :ff55   ;2222   :ff55   ;2223   :ff55   ;2224   :ff55   ;2225   :ff55   ;2226   :ff55   ;2227   :ff55   ;2228   :ff55   ;2229   :ff55   ;2230   :ff55   ;2231   :ff55   ;2232   :ff55   ;2233   :ff55   ;2234   :ff55   ;2235   :ff54   ;2236   :ff34   ;2237   :ff34   ;2238   :ff54   ;2239   :ff34   ;2240   :ff34   ;2241   :ff34   ;2242   :ff54   ;2243   :ff34   ;2244   :ff54   ;2245   :ff33   ;2246   :ff53   ;2247   :ffb3   ;2248   :a4cd   ;2249   :2945   ;2250   :31a6   ;2251   :39c7   ;2252   :4208   ;2253   :4229   ;2254   :528a   ;2255   :528a   ;2256   :8c2d   ;2257   :f6cf   ;2258   :feef   ;2259   :feef   ;2260   :feee   ;2261   :f6ee   ;2262   :fecd   ;2263   :feed   ;2264   :fecd   ;2265   :fecc   ;2266   :f6ac   ;2267   :f6ac   ;2268   :f6ab   ;2269   :f6ab   ;2270   :f68b   ;2271   :ee6b   ;2272   :ee6b   ;2273   :ee4a   ;2274   :ee4a   ;2275   :ee4a   ;2276   :e62a   ;2277   :e62a   ;2278   :e60a   ;2279   :e609   ;2280   :e5e9   ;2281   :e5e9   ;2282   :ddc9   ;2283   :ddc9   ;2284   :ddc8   ;2285   :dda8   ;2286   :dd88   ;2287   :d588   ;2288   :d588   ;2289   :d568   ;2290   :d568   ;2291   :d547   ;2292   :d547   ;2293   :cd47   ;2294   :cd27   ;2295   :cd07   ;2296   :d568   ;2297   :fecc   ;2298   :ff6d   ;2299   :1081   ;2300   :10a1   ;2301   :f68c   ;2302   :b443   ;2303   :e690   ;2304   :ff75   ;2305   :ff55   ;2306   :ff55   ;2307   :ff55   ;2308   :ff55   ;2309   :ff55   ;2310   :ff55   ;2311   :ff55   ;2312   :ff55   ;2313   :ff55   ;2314   :ff55   ;2315   :ff55   ;2316   :ff55   ;2317   :ff55   ;2318   :ff55   ;2319   :ff55   ;2320   :ff55   ;2321   :ff55   ;2322   :ff55   ;2323   :ff55   ;2324   :ff55   ;2325   :ff55   ;2326   :ff55   ;2327   :ff55   ;2328   :ff55   ;2329   :ff55   ;2330   :ff55   ;2331   :ff55   ;2332   :ff55   ;2333   :ff55   ;2334   :ff55   ;2335   :ff55   ;2336   :ff54   ;2337   :ff34   ;2338   :ff34   ;2339   :ff54   ;2340   :ff54   ;2341   :ff54   ;2342   :ff34   ;2343   :ff54   ;2344   :ff53   ;2345   :ff54   ;2346   :ff74   ;2347   :a4ce   ;2348   :2945   ;2349   :2945   ;2350   :31a6   ;2351   :39e7   ;2352   :4208   ;2353   :4a49   ;2354   :5289   ;2355   :528b   ;2356   :8c2c   ;2357   :f6ef   ;2358   :ff0f   ;2359   :feef   ;2360   :feef   ;2361   :feee   ;2362   :f6ee   ;2363   :feed   ;2364   :feed   ;2365   :feed   ;2366   :fecc   ;2367   :f6cc   ;2368   :f6cc   ;2369   :f6ac   ;2370   :f6ab   ;2371   :f68b   ;2372   :f68b   ;2373   :f66b   ;2374   :ee6b   ;2375   :ee4a   ;2376   :ee4a   ;2377   :ee4a   ;2378   :e62a   ;2379   :e62a   ;2380   :e609   ;2381   :e5e9   ;2382   :e5e9   ;2383   :dde9   ;2384   :ddc9   ;2385   :ddc9   ;2386   :dda9   ;2387   :dda8   ;2388   :dd88   ;2389   :d588   ;2390   :d568   ;2391   :d568   ;2392   :d567   ;2393   :d547   ;2394   :cd47   ;2395   :cd27   ;2396   :d568   ;2397   :f6ac   ;2398   :ff6d   ;2399   :1081   ;2400   :10a1   ;2401   :ff2e   ;2402   :d568   ;2403   :eed1   ;2404   :ff75   ;2405   :ff55   ;2406   :ff55   ;2407   :ff55   ;2408   :ff55   ;2409   :ff55   ;2410   :ff55   ;2411   :ff55   ;2412   :ff55   ;2413   :ff55   ;2414   :ff55   ;2415   :ff55   ;2416   :ff55   ;2417   :ff55   ;2418   :ff55   ;2419   :ff55   ;2420   :ff55   ;2421   :ff55   ;2422   :ff55   ;2423   :ff55   ;2424   :ff55   ;2425   :ff55   ;2426   :ff55   ;2427   :ff55   ;2428   :ff55   ;2429   :ff55   ;2430   :ff55   ;2431   :ff55   ;2432   :ff55   ;2433   :ff55   ;2434   :ff55   ;2435   :ff55   ;2436   :ff55   ;2437   :ff34   ;2438   :ff54   ;2439   :ff55   ;2440   :ff54   ;2441   :ff54   ;2442   :ff54   ;2443   :ff54   ;2444   :ff54   ;2445   :ff74   ;2446   :946c   ;2447   :2945   ;2448   :2124   ;2449   :2966   ;2450   :31a6   ;2451   :39e7   ;2452   :4208   ;2453   :4228   ;2454   :528a   ;2455   :4a8a   ;2456   :a4ad   ;2457   :ff51   ;2458   :ff10   ;2459   :f6ef   ;2460   :feef   ;2461   :feef   ;2462   :feee   ;2463   :feee   ;2464   :feed   ;2465   :fecd   ;2466   :fecd   ;2467   :f6cc   ;2468   :feac   ;2469   :f6ac   ;2470   :f6ab   ;2471   :f6ac   ;2472   :f68b   ;2473   :f68b   ;2474   :f66b   ;2475   :ee6b   ;2476   :ee4a   ;2477   :ee4a   ;2478   :ee2a   ;2479   :e62a   ;2480   :e60a   ;2481   :e609   ;2482   :e5e9   ;2483   :e5e9   ;2484   :ddc9   ;2485   :ddc9   ;2486   :ddc9   ;2487   :dda9   ;2488   :dda8   ;2489   :dd88   ;2490   :d588   ;2491   :d568   ;2492   :d568   ;2493   :d547   ;2494   :d547   ;2495   :cd27   ;2496   :d568   ;2497   :f68b   ;2498   :ff4d   ;2499   :1081   ;2500   :1081   ;2501   :ff6f   ;2502   :e62b   ;2503   :f6f2   ;2504   :ff55   ;2505   :ff55   ;2506   :ff55   ;2507   :ff55   ;2508   :ff55   ;2509   :ff55   ;2510   :ff55   ;2511   :ff55   ;2512   :ff55   ;2513   :ff55   ;2514   :ff55   ;2515   :ff55   ;2516   :ff55   ;2517   :ff55   ;2518   :ff55   ;2519   :ff55   ;2520   :ff55   ;2521   :ff55   ;2522   :ff55   ;2523   :ff55   ;2524   :ff55   ;2525   :ff55   ;2526   :ff55   ;2527   :ff55   ;2528   :ff55   ;2529   :ff55   ;2530   :ff55   ;2531   :ff55   ;2532   :ff55   ;2533   :ff55   ;2534   :ff55   ;2535   :ff55   ;2536   :ff55   ;2537   :ff35   ;2538   :ff34   ;2539   :ff54   ;2540   :ff54   ;2541   :ff54   ;2542   :ff54   ;2543   :ff75   ;2544   :ff74   ;2545   :734a   ;2546   :18c3   ;2547   :2104   ;2548   :2945   ;2549   :2965   ;2550   :31a6   ;2551   :39c7   ;2552   :4208   ;2553   :4a49   ;2554   :4a8a   ;2555   :52aa   ;2556   :b50e   ;2557   :ff51   ;2558   :ff10   ;2559   :fef0   ;2560   :f6ef   ;2561   :feef   ;2562   :feef   ;2563   :feee   ;2564   :feee   ;2565   :feed   ;2566   :fecd   ;2567   :fecd   ;2568   :fecc   ;2569   :f6ac   ;2570   :f6cc   ;2571   :f6ac   ;2572   :f6ab   ;2573   :f68b   ;2574   :f68b   ;2575   :ee6b   ;2576   :ee4a   ;2577   :ee4a   ;2578   :ee4a   ;2579   :ee2a   ;2580   :e62a   ;2581   :e60a   ;2582   :e609   ;2583   :e5e9   ;2584   :e5e9   ;2585   :ddc9   ;2586   :ddc9   ;2587   :ddc9   ;2588   :dda9   ;2589   :dd88   ;2590   :dd88   ;2591   :d588   ;2592   :d568   ;2593   :d568   ;2594   :d567   ;2595   :cd47   ;2596   :d568   ;2597   :ee4b   ;2598   :ff2c   ;2599   :1081   ;2600   :1081   ;2601   :ff90   ;2602   :ee8c   ;2603   :f713   ;2604   :ff55   ;2605   :ff55   ;2606   :ff55   ;2607   :ff55   ;2608   :ff55   ;2609   :ff55   ;2610   :ff55   ;2611   :ff55   ;2612   :ff55   ;2613   :ff55   ;2614   :ff55   ;2615   :ff55   ;2616   :ff55   ;2617   :ff55   ;2618   :ff55   ;2619   :ff55   ;2620   :ff55   ;2621   :ff55   ;2622   :ff55   ;2623   :ff55   ;2624   :ff55   ;2625   :ff55   ;2626   :ff55   ;2627   :ff55   ;2628   :ff55   ;2629   :ff55   ;2630   :ff55   ;2631   :ff55   ;2632   :ff55   ;2633   :ff55   ;2634   :ff55   ;2635   :ff55   ;2636   :ff55   ;2637   :ff55   ;2638   :ff55   ;2639   :ff54   ;2640   :ff34   ;2641   :ff55   ;2642   :ffb6   ;2643   :eed3   ;2644   :5267   ;2645   :1082   ;2646   :1904   ;2647   :2124   ;2648   :2945   ;2649   :2965   ;2650   :31a6   ;2651   :39c7   ;2652   :4208   ;2653   :4249   ;2654   :4a69   ;2655   :630b   ;2656   :d5f0   ;2657   :ff31   ;2658   :ff10   ;2659   :ff10   ;2660   :fef0   ;2661   :f70f   ;2662   :feef   ;2663   :feef   ;2664   :f6ee   ;2665   :feee   ;2666   :fece   ;2667   :fecd   ;2668   :fecd   ;2669   :fecd   ;2670   :f6cc   ;2671   :f6ac   ;2672   :f6ac   ;2673   :f6ab   ;2674   :f68b   ;2675   :ee6b   ;2676   :ee6b   ;2677   :ee6b   ;2678   :ee4a   ;2679   :ee4a   ;2680   :ee2a   ;2681   :e62a   ;2682   :e60a   ;2683   :e609   ;2684   :e5e9   ;2685   :e5e9   ;2686   :ddc9   ;2687   :ddc9   ;2688   :ddc9   ;2689   :dda8   ;2690   :dda8   ;2691   :dd88   ;2692   :d588   ;2693   :d568   ;2694   :d568   ;2695   :d547   ;2696   :d568   ;2697   :ee2a   ;2698   :ff0c   ;2699   :1081   ;2700   :1081   ;2701   :ff90   ;2702   :f6cd   ;2703   :ff12   ;2704   :ff54   ;2705   :ff54   ;2706   :ff55   ;2707   :ff55   ;2708   :ff55   ;2709   :ff55   ;2710   :ff55   ;2711   :ff55   ;2712   :ff55   ;2713   :ff55   ;2714   :ff55   ;2715   :ff55   ;2716   :ff55   ;2717   :ff55   ;2718   :ff55   ;2719   :ff55   ;2720   :ff55   ;2721   :ff55   ;2722   :ff55   ;2723   :ff55   ;2724   :ff55   ;2725   :ff55   ;2726   :ff55   ;2727   :ff55   ;2728   :ff55   ;2729   :ff55   ;2730   :ff55   ;2731   :ff55   ;2732   :ff55   ;2733   :ff55   ;2734   :ff55   ;2735   :ff55   ;2736   :ff55   ;2737   :ff55   ;2738   :ff55   ;2739   :ff35   ;2740   :ff74   ;2741   :ff95   ;2742   :bd90   ;2743   :2103   ;2744   :1082   ;2745   :18c3   ;2746   :2104   ;2747   :2124   ;2748   :2945   ;2749   :2965   ;2750   :31a6   ;2751   :39e7   ;2752   :4208   ;2753   :4a29   ;2754   :4a6a   ;2755   :6b6b   ;2756   :f711   ;2757   :ff11   ;2758   :ff11   ;2759   :ff10   ;2760   :f6f0   ;2761   :f70f   ;2762   :feef   ;2763   :feef   ;2764   :feef   ;2765   :f6ee   ;2766   :feee   ;2767   :fecd   ;2768   :feed   ;2769   :fecd   ;2770   :f6cc   ;2771   :fecc   ;2772   :f6cc   ;2773   :f6ab   ;2774   :f6ab   ;2775   :f68b   ;2776   :ee8b   ;2777   :ee6b   ;2778   :ee6b   ;2779   :ee4a   ;2780   :ee4a   ;2781   :ee4a   ;2782   :e62a   ;2783   :e62a   ;2784   :e609   ;2785   :e5e9   ;2786   :e5e9   ;2787   :dde9   ;2788   :ddc9   ;2789   :ddc9   ;2790   :ddc8   ;2791   :dda8   ;2792   :dd88   ;2793   :d588   ;2794   :d588   ;2795   :d568   ;2796   :d568   ;2797   :e60a   ;2798   :fecb   ;2799   :1080   ;2800   :1081   ;2801   :ffb0   ;2802   :f6cd   ;2803   :ff33   ;2804   :ff54   ;2805   :ff34   ;2806   :ff54   ;2807   :ff55   ;2808   :ff55   ;2809   :ff55   ;2810   :ff55   ;2811   :ff55   ;2812   :ff55   ;2813   :ff55   ;2814   :ff55   ;2815   :ff55   ;2816   :ff55   ;2817   :ff55   ;2818   :ff55   ;2819   :ff55   ;2820   :ff55   ;2821   :ff55   ;2822   :ff55   ;2823   :ff55   ;2824   :ff55   ;2825   :ff55   ;2826   :ff55   ;2827   :ff55   ;2828   :ff55   ;2829   :ff55   ;2830   :ff55   ;2831   :ff55   ;2832   :ff55   ;2833   :ff55   ;2834   :ff55   ;2835   :ff55   ;2836   :ff55   ;2837   :ff55   ;2838   :ff55   ;2839   :ff75   ;2840   :ff75   ;2841   :944d   ;2842   :841    ;2843   :1082   ;2844   :18c3   ;2845   :18e3   ;2846   :2104   ;2847   :2124   ;2848   :2945   ;2849   :2965   ;2850   :31a6   ;2851   :39c7   ;2852   :4208   ;2853   :4a49   ;2854   :4a69   ;2855   :a4ce   ;2856   :ff72   ;2857   :ff11   ;2858   :ff11   ;2859   :ff11   ;2860   :ff10   ;2861   :ff10   ;2862   :f6f0   ;2863   :feef   ;2864   :feef   ;2865   :feef   ;2866   :f6ef   ;2867   :f6ee   ;2868   :f6ee   ;2869   :fecd   ;2870   :fecd   ;2871   :f6cd   ;2872   :fecc   ;2873   :f6ac   ;2874   :f6ac   ;2875   :f6ab   ;2876   :f68b   ;2877   :ee8b   ;2878   :ee6b   ;2879   :ee6b   ;2880   :ee4a   ;2881   :ee4a   ;2882   :ee2a   ;2883   :e62a   ;2884   :e60a   ;2885   :e609   ;2886   :e5e9   ;2887   :e5e9   ;2888   :dde9   ;2889   :ddc9   ;2890   :ddc9   ;2891   :dda8   ;2892   :dda8   ;2893   :dd88   ;2894   :d588   ;2895   :d568   ;2896   :d568   ;2897   :ddc9   ;2898   :feab   ;2899   :1080   ;2900   :10a1   ;2901   :ff90   ;2902   :f6ee   ;2903   :f732   ;2904   :ff54   ;2905   :ff54   ;2906   :ff54   ;2907   :ff54   ;2908   :ff54   ;2909   :ff55   ;2910   :ff55   ;2911   :ff55   ;2912   :ff55   ;2913   :ff55   ;2914   :ff55   ;2915   :ff55   ;2916   :ff55   ;2917   :ff55   ;2918   :ff55   ;2919   :ff55   ;2920   :ff55   ;2921   :ff55   ;2922   :ff55   ;2923   :ff55   ;2924   :ff55   ;2925   :ff55   ;2926   :ff55   ;2927   :ff55   ;2928   :ff55   ;2929   :ff55   ;2930   :ff55   ;2931   :ff55   ;2932   :ff55   ;2933   :ff55   ;2934   :ff55   ;2935   :ff55   ;2936   :ff55   ;2937   :ff55   ;2938   :ff96   ;2939   :eed4   ;2940   :83cb   ;2941   :0  ;2942   :1082   ;2943   :18c2   ;2944   :18c3   ;2945   :18e3   ;2946   :2104   ;2947   :2124   ;2948   :2945   ;2949   :2965   ;2950   :31a6   ;2951   :39c7   ;2952   :4208   ;2953   :4228   ;2954   :5289   ;2955   :f6f2   ;2956   :ff32   ;2957   :ff11   ;2958   :ff11   ;2959   :ff11   ;2960   :ff11   ;2961   :ff10   ;2962   :ff10   ;2963   :fef0   ;2964   :feef   ;2965   :feee   ;2966   :eed3   ;2967   :f6f1   ;2968   :feee   ;2969   :feed   ;2970   :fecd   ;2971   :fecd   ;2972   :fecc   ;2973   :f6ac   ;2974   :f6ac   ;2975   :f6ab   ;2976   :f6ab   ;2977   :f68b   ;2978   :ee6b   ;2979   :f66b   ;2980   :ee6a   ;2981   :ee4a   ;2982   :ee4a   ;2983   :ee4a   ;2984   :e62a   ;2985   :e62a   ;2986   :e609   ;2987   :e5e9   ;2988   :e5e9   ;2989   :ddc9   ;2990   :ddc9   ;2991   :ddc9   ;2992   :dda9   ;2993   :dd88   ;2994   :dd88   ;2995   :d588   ;2996   :d588   ;2997   :dda9   ;2998   :f66a   ;2999   :1060   ;3000   :1081   ;3001   :ff4e   ;3002   :f6ee   ;3003   :ff32   ;3004   :ff33   ;3005   :ff54   ;3006   :ff34   ;3007   :ff54   ;3008   :ff54   ;3009   :ff54   ;3010   :ff55   ;3011   :ff55   ;3012   :ff55   ;3013   :ff55   ;3014   :ff55   ;3015   :ff55   ;3016   :ff55   ;3017   :ff55   ;3018   :ff55   ;3019   :ff55   ;3020   :ff55   ;3021   :ff55   ;3022   :ff55   ;3023   :ff55   ;3024   :ff55   ;3025   :ff55   ;3026   :ff55   ;3027   :ff55   ;3028   :ff55   ;3029   :ff55   ;3030   :ff55   ;3031   :ff55   ;3032   :ff55   ;3033   :ff55   ;3034   :ff55   ;3035   :ff55   ;3036   :ff55   ;3037   :ff96   ;3038   :f714   ;3039   :5aa8   ;3040   :21 ;3041   :882    ;3042   :10a2   ;3043   :10c2   ;3044   :18c3   ;3045   :18e3   ;3046   :2104   ;3047   :2124   ;3048   :2945   ;3049   :2965   ;3050   :31a6   ;3051   :39c7   ;3052   :4208   ;3053   :39e8   ;3054   :946e   ;3055   :ff93   ;3056   :ff32   ;3057   :ff32   ;3058   :ff12   ;3059   :ff11   ;3060   :ff11   ;3061   :ff11   ;3062   :ff10   ;3063   :ff10   ;3064   :feef   ;3065   :ff0f   ;3066   :e6b5   ;3067   :e6d9   ;3068   :feed   ;3069   :feee   ;3070   :feed   ;3071   :feed   ;3072   :fecd   ;3073   :fecc   ;3074   :f6cc   ;3075   :f6ac   ;3076   :f6ab   ;3077   :f6ab   ;3078   :f68b   ;3079   :f68b   ;3080   :ee6b   ;3081   :ee6b   ;3082   :ee4a   ;3083   :ee4a   ;3084   :ee2a   ;3085   :e62a   ;3086   :e62a   ;3087   :e609   ;3088   :e5e9   ;3089   :e5e9   ;3090   :ddc9   ;3091   :ddc9   ;3092   :dda9   ;3093   :dda8   ;3094   :dda8   ;3095   :d588   ;3096   :d588   ;3097   :d568   ;3098   :f64a   ;3099   :1060   ;3100   :1060   ;3101   :fecd   ;3102   :eead   ;3103   :ff32   ;3104   :ff53   ;3105   :ff53   ;3106   :ff53   ;3107   :ff54   ;3108   :ff54   ;3109   :ff54   ;3110   :ff55   ;3111   :ff55   ;3112   :ff55   ;3113   :ff55   ;3114   :ff55   ;3115   :ff55   ;3116   :ff55   ;3117   :ff55   ;3118   :ff55   ;3119   :ff55   ;3120   :ff55   ;3121   :ff55   ;3122   :ff55   ;3123   :ff55   ;3124   :ff55   ;3125   :ff55   ;3126   :ff55   ;3127   :ff55   ;3128   :ff55   ;3129   :ff55   ;3130   :ff55   ;3131   :ff55   ;3132   :ff55   ;3133   :ff55   ;3134   :ff55   ;3135   :ff55   ;3136   :ff96   ;3137   :eed3   ;3138   :41c5   ;3139   :20 ;3140   :881    ;3141   :1082   ;3142   :1082   ;3143   :10c3   ;3144   :18c3   ;3145   :18e3   ;3146   :2104   ;3147   :2124   ;3148   :2945   ;3149   :2965   ;3150   :31a6   ;3151   :39c7   ;3152   :39e7   ;3153   :5269   ;3154   :f733   ;3155   :ff53   ;3156   :ff33   ;3157   :ff33   ;3158   :ff12   ;3159   :ff32   ;3160   :ff11   ;3161   :ff11   ;3162   :ff11   ;3163   :ff10   ;3164   :ff10   ;3165   :ff0f   ;3166   :deb6   ;3167   :dedd   ;3168   :f712   ;3169   :feee   ;3170   :f6ee   ;3171   :f6ee   ;3172   :fecd   ;3173   :f6cd   ;3174   :fecc   ;3175   :f6ac   ;3176   :f6ac   ;3177   :f6ab   ;3178   :f68b   ;3179   :f68b   ;3180   :f68b   ;3181   :f66b   ;3182   :ee4a   ;3183   :ee4a   ;3184   :ee4a   ;3185   :e62a   ;3186   :e62a   ;3187   :e609   ;3188   :e609   ;3189   :e5e9   ;3190   :e5e9   ;3191   :ddc9   ;3192   :e5c9   ;3193   :ddc9   ;3194   :ddc9   ;3195   :dd88   ;3196   :d588   ;3197   :d547   ;3198   :ee29   ;3199   :1060   ;3200   :1060   ;3201   :ee6b   ;3202   :e64c   ;3203   :f711   ;3204   :ff33   ;3205   :ff53   ;3206   :ff33   ;3207   :ff34   ;3208   :ff54   ;3209   :ff54   ;3210   :ff54   ;3211   :ff55   ;3212   :ff55   ;3213   :ff55   ;3214   :ff55   ;3215   :ff55   ;3216   :ff55   ;3217   :ff55   ;3218   :ff55   ;3219   :ff55   ;3220   :ff55   ;3221   :ff55   ;3222   :ff55   ;3223   :ff55   ;3224   :ff55   ;3225   :ff55   ;3226   :ff55   ;3227   :ff55   ;3228   :ff55   ;3229   :ff55   ;3230   :ff55   ;3231   :ff55   ;3232   :ff55   ;3233   :ff55   ;3234   :ff55   ;3235   :ff96   ;3236   :e6b3   ;3237   :18c2   ;3238   :0  ;3239   :861    ;3240   :861    ;3241   :1082   ;3242   :10a2   ;3243   :10a2   ;3244   :18c3   ;3245   :18e4   ;3246   :2104   ;3247   :2124   ;3248   :2945   ;3249   :2965   ;3250   :31a6   ;3251   :31a7   ;3252   :4228   ;3253   :d611   ;3254   :ff74   ;3255   :ff33   ;3256   :ff33   ;3257   :ff33   ;3258   :ff32   ;3259   :ff32   ;3260   :ff12   ;3261   :ff11   ;3262   :ff11   ;3263   :ff11   ;3264   :ff10   ;3265   :ff0f   ;3266   :deb6   ;3267   :d6fc   ;3268   :ef59   ;3269   :feed   ;3270   :feee   ;3271   :feee   ;3272   :feed   ;3273   :feed   ;3274   :fecd   ;3275   :fecc   ;3276   :fecc   ;3277   :f6cc   ;3278   :f6ac   ;3279   :f6ab   ;3280   :f68b   ;3281   :f68b   ;3282   :ee6b   ;3283   :ee6b   ;3284   :ee4a   ;3285   :ee4a   ;3286   :ee2a   ;3287   :e62a   ;3288   :e62a   ;3289   :e609   ;3290   :e5e9   ;3291   :e5e9   ;3292   :e5c9   ;3293   :ddc9   ;3294   :ddc9   ;3295   :ddc9   ;3296   :d588   ;3297   :cd27   ;3298   :ede8   ;3299   :1060   ;3300   :1060   ;3301   :e60a   ;3302   :ddca   ;3303   :f6f0   ;3304   :ff33   ;3305   :ff33   ;3306   :ff33   ;3307   :ff33   ;3308   :ff34   ;3309   :ff54   ;3310   :ff54   ;3311   :ff54   ;3312   :ff55   ;3313   :ff55   ;3314   :ff55   ;3315   :ff55   ;3316   :ff55   ;3317   :ff55   ;3318   :ff55   ;3319   :ff55   ;3320   :ff55   ;3321   :ff55   ;3322   :ff55   ;3323   :ff55   ;3324   :ff55   ;3325   :ff55   ;3326   :ff55   ;3327   :ff55   ;3328   :ff55   ;3329   :ff55   ;3330   :ff55   ;3331   :ff55   ;3332   :ff55   ;3333   :ff55   ;3334   :ff96   ;3335   :ff35   ;3336   :2103   ;3337   :0  ;3338   :861    ;3339   :861    ;3340   :1082   ;3341   :1082   ;3342   :10a2   ;3343   :18c3   ;3344   :18c3   ;3345   :18e3   ;3346   :2104   ;3347   :2124   ;3348   :2945   ;3349   :2965   ;3350   :2966   ;3351   :4228   ;3352   :bd90   ;3353   :ff74   ;3354   :ff54   ;3355   :ff34   ;3356   :ff53   ;3357   :ff33   ;3358   :ff13   ;3359   :ff32   ;3360   :ff32   ;3361   :ff31   ;3362   :ff11   ;3363   :ff11   ;3364   :ff11   ;3365   :f710   ;3366   :deb7   ;3367   :dedc   ;3368   :ef7d   ;3369   :feee   ;3370   :feee   ;3371   :feee   ;3372   :feee   ;3373   :feed   ;3374   :feed   ;3375   :fecd   ;3376   :f6cc   ;3377   :f6cc   ;3378   :f6cc   ;3379   :f6ac   ;3380   :f6ac   ;3381   :f68b   ;3382   :ee8b   ;3383   :ee6b   ;3384   :ee6b   ;3385   :ee4a   ;3386   :ee4a   ;3387   :ee2a   ;3388   :e62a   ;3389   :e60a   ;3390   :e609   ;3391   :e5e9   ;3392   :e5e9   ;3393   :dde9   ;3394   :ddc9   ;3395   :ddc8   ;3396   :d588   ;3397   :c4e6   ;3398   :e5c8   ;3399   :1080   ;3400   :1060   ;3401   :e5ea   ;3402   :d589   ;3403   :f6d0   ;3404   :ff32   ;3405   :ff33   ;3406   :ff33   ;3407   :ff33   ;3408   :ff33   ;3409   :ff54   ;3410   :ff54   ;3411   :ff54   ;3412   :ff54   ;3413   :ff55   ;3414   :ff55   ;3415   :ff55   ;3416   :ff55   ;3417   :ff55   ;3418   :ff55   ;3419   :ff55   ;3420   :ff55   ;3421   :ff55   ;3422   :ff55   ;3423   :ff55   ;3424   :ff55   ;3425   :ff55   ;3426   :ff55   ;3427   :ff55   ;3428   :ff55   ;3429   :ff55   ;3430   :ff55   ;3431   :ff55   ;3432   :ff55   ;3433   :ff76   ;3434   :f714   ;3435   :5aa8   ;3436   :0  ;3437   :841    ;3438   :861    ;3439   :861    ;3440   :861    ;3441   :1082   ;3442   :10a2   ;3443   :18c3   ;3444   :18c3   ;3445   :18e3   ;3446   :2104   ;3447   :2124   ;3448   :2945   ;3449   :2145   ;3450   :4228   ;3451   :cdf1   ;3452   :ff54   ;3453   :ff54   ;3454   :ff34   ;3455   :ff34   ;3456   :ff53   ;3457   :ff33   ;3458   :ff33   ;3459   :ff33   ;3460   :ff32   ;3461   :ff32   ;3462   :ff12   ;3463   :ff11   ;3464   :ff30   ;3465   :f6f1   ;3466   :deb8   ;3467   :dedc   ;3468   :ef7c   ;3469   :ff13   ;3470   :f6ee   ;3471   :feef   ;3472   :feee   ;3473   :feee   ;3474   :feed   ;3475   :fecd   ;3476   :fecd   ;3477   :fecc   ;3478   :f6cc   ;3479   :f6cc   ;3480   :f6ab   ;3481   :f6ab   ;3482   :f68b   ;3483   :ee8b   ;3484   :ee6b   ;3485   :ee6b   ;3486   :ee4a   ;3487   :ee4a   ;3488   :ee2a   ;3489   :e62a   ;3490   :e60a   ;3491   :e609   ;3492   :e5e9   ;3493   :e5e9   ;3494   :dde9   ;3495   :e5e9   ;3496   :d588   ;3497   :c4c5   ;3498   :dd87   ;3499   :1060   ;3500   :1060   ;3501   :dda9   ;3502   :cd48   ;3503   :eeb0   ;3504   :ff32   ;3505   :ff32   ;3506   :ff32   ;3507   :ff33   ;3508   :ff33   ;3509   :ff33   ;3510   :ff54   ;3511   :ff54   ;3512   :ff54   ;3513   :ff54   ;3514   :ff55   ;3515   :ff55   ;3516   :ff55   ;3517   :ff55   ;3518   :ff55   ;3519   :ff55   ;3520   :ff55   ;3521   :ff55   ;3522   :ff55   ;3523   :ff55   ;3524   :ff55   ;3525   :ff55   ;3526   :ff55   ;3527   :ff55   ;3528   :ff55   ;3529   :ff55   ;3530   :ff55   ;3531   :ff55   ;3532   :ff55   ;3533   :ff96   ;3534   :7bab   ;3535   :0  ;3536   :20 ;3537   :841    ;3538   :841    ;3539   :861    ;3540   :861    ;3541   :1082   ;3542   :10a2   ;3543   :18c3   ;3544   :18c3   ;3545   :18e3   ;3546   :2104   ;3547   :2124   ;3548   :2124   ;3549   :2945   ;3550   :e6d3   ;3551   :ff95   ;3552   :ffb5   ;3553   :e692   ;3554   :ff54   ;3555   :ff34   ;3556   :ff54   ;3557   :ff34   ;3558   :ff53   ;3559   :ff33   ;3560   :ff33   ;3561   :ff32   ;3562   :ff12   ;3563   :ff31   ;3564   :ff31   ;3565   :eef3   ;3566   :d698   ;3567   :dedc   ;3568   :ef7d   ;3569   :ff56   ;3570   :f6ef   ;3571   :feef   ;3572   :feef   ;3573   :feee   ;3574   :feee   ;3575   :fecd   ;3576   :fecd   ;3577   :fecd   ;3578   :fecc   ;3579   :f6cc   ;3580   :f6ac   ;3581   :f6ab   ;3582   :f6ab   ;3583   :f68b   ;3584   :ee6b   ;3585   :ee6b   ;3586   :ee4a   ;3587   :ee4a   ;3588   :ee4a   ;3589   :ee2a   ;3590   :e62a   ;3591   :e60a   ;3592   :e609   ;3593   :e5e9   ;3594   :e5e9   ;3595   :e5e9   ;3596   :d588   ;3597   :bca5   ;3598   :dd67   ;3599   :1060   ;3600   :1060   ;3601   :dd89   ;3602   :c508   ;3603   :eeaf   ;3604   :ff32   ;3605   :ff12   ;3606   :ff32   ;3607   :ff32   ;3608   :ff33   ;3609   :ff33   ;3610   :ff33   ;3611   :ff54   ;3612   :ff54   ;3613   :ff54   ;3614   :ff54   ;3615   :ff54   ;3616   :ff55   ;3617   :ff55   ;3618   :ff55   ;3619   :ff55   ;3620   :ff55   ;3621   :ff55   ;3622   :ff55   ;3623   :ff55   ;3624   :ff55   ;3625   :ff55   ;3626   :ff55   ;3627   :ff55   ;3628   :ff55   ;3629   :ff55   ;3630   :ff55   ;3631   :ff55   ;3632   :ff96   ;3633   :b54f   ;3634   :21 ;3635   :0  ;3636   :40 ;3637   :841    ;3638   :841    ;3639   :861    ;3640   :1061   ;3641   :1082   ;3642   :10a2   ;3643   :18c3   ;3644   :18c3   ;3645   :18e3   ;3646   :2104   ;3647   :2104   ;3648   :2945   ;3649   :de92   ;3650   :ff96   ;3651   :ff95   ;3652   :b54f   ;3653   :83cc   ;3654   :ff54   ;3655   :ff54   ;3656   :ff54   ;3657   :ff34   ;3658   :ff34   ;3659   :ff33   ;3660   :ff33   ;3661   :ff33   ;3662   :ff32   ;3663   :f732   ;3664   :ff12   ;3665   :eed4   ;3666   :ce79   ;3667   :defb   ;3668   :ef7e   ;3669   :ff78   ;3670   :feef   ;3671   :feef   ;3672   :feef   ;3673   :feef   ;3674   :f6ee   ;3675   :f6ee   ;3676   :fecd   ;3677   :fecd   ;3678   :feed   ;3679   :fecc   ;3680   :feac   ;3681   :f6cc   ;3682   :f6ab   ;3683   :f6ab   ;3684   :f68b   ;3685   :f68b   ;3686   :f66b   ;3687   :ee6b   ;3688   :ee4a   ;3689   :ee4a   ;3690   :ee4a   ;3691   :e62a   ;3692   :e60a   ;3693   :e609   ;3694   :e5e9   ;3695   :e5e9   ;3696   :d588   ;3697   :bc64   ;3698   :d526   ;3699   :1060   ;3700   :860    ;3701   :d568   ;3702   :c4e7   ;3703   :ee8f   ;3704   :ff31   ;3705   :ff31   ;3706   :ff32   ;3707   :ff32   ;3708   :ff32   ;3709   :ff33   ;3710   :ff33   ;3711   :ff53   ;3712   :ff34   ;3713   :ff54   ;3714   :ff54   ;3715   :ff54   ;3716   :ff55   ;3717   :ff55   ;3718   :ff55   ;3719   :ff55   ;3720   :ff55   ;3721   :ff55   ;3722   :ff55   ;3723   :ff55   ;3724   :ff55   ;3725   :ff55   ;3726   :ff55   ;3727   :ff55   ;3728   :ff55   ;3729   :ff55   ;3730   :ff55   ;3731   :ff75   ;3732   :f714   ;3733   :41e6   ;3734   :0  ;3735   :20 ;3736   :840    ;3737   :861    ;3738   :861    ;3739   :861    ;3740   :881    ;3741   :1082   ;3742   :10a2   ;3743   :18c3   ;3744   :18c3   ;3745   :18e3   ;3746   :2104   ;3747   :1904   ;3748   :ad0f   ;3749   :ff95   ;3750   :ff75   ;3751   :de52   ;3752   :5269   ;3753   :83ed   ;3754   :ff54   ;3755   :ff34   ;3756   :ff54   ;3757   :ff54   ;3758   :ff34   ;3759   :ff54   ;3760   :ff33   ;3761   :ff33   ;3762   :ff33   ;3763   :f732   ;3764   :ff32   ;3765   :de95   ;3766   :ce5a   ;3767   :defb   ;3768   :ef7e   ;3769   :ff9a   ;3770   :feef   ;3771   :ff10   ;3772   :f70f   ;3773   :feef   ;3774   :feef   ;3775   :feee   ;3776   :f6ee   ;3777   :feed   ;3778   :feed   ;3779   :fecd   ;3780   :fecc   ;3781   :feac   ;3782   :f6ac   ;3783   :f6ac   ;3784   :f6ac   ;3785   :f68b   ;3786   :f68b   ;3787   :f66b   ;3788   :ee6b   ;3789   :ee4a   ;3790   :ee4a   ;3791   :ee4a   ;3792   :e62a   ;3793   :e609   ;3794   :e609   ;3795   :e609   ;3796   :d588   ;3797   :b424   ;3798   :cd05   ;3799   :860    ;3800   :860    ;3801   :d568   ;3802   :bcc7   ;3803   :ee8f   ;3804   :ff31   ;3805   :ff11   ;3806   :ff11   ;3807   :ff32   ;3808   :ff32   ;3809   :ff32   ;3810   :ff33   ;3811   :ff33   ;3812   :ff33   ;3813   :ff34   ;3814   :ff34   ;3815   :ff54   ;3816   :ff54   ;3817   :ff55   ;3818   :ff55   ;3819   :ff55   ;3820   :ff55   ;3821   :ff55   ;3822   :ff55   ;3823   :ff55   ;3824   :ff55   ;3825   :ff55   ;3826   :ff55   ;3827   :ff55   ;3828   :ff55   ;3829   :ff55   ;3830   :ff55   ;3831   :ff96   ;3832   :ad0f   ;3833   :0  ;3834   :20 ;3835   :21 ;3836   :841    ;3837   :841    ;3838   :841    ;3839   :861    ;3840   :882    ;3841   :1082   ;3842   :10a2   ;3843   :18c3   ;3844   :18c3   ;3845   :18e3   ;3846   :18c3   ;3847   :5ac9   ;3848   :f714   ;3849   :ff75   ;3850   :ff35   ;3851   :7bac   ;3852   :2986   ;3853   :a4ce   ;3854   :ff74   ;3855   :ff35   ;3856   :ff54   ;3857   :ff34   ;3858   :ff54   ;3859   :ff34   ;3860   :ff34   ;3861   :ff33   ;3862   :ff33   ;3863   :ff32   ;3864   :f733   ;3865   :ce57   ;3866   :ce79   ;3867   :defb   ;3868   :ef7e   ;3869   :ff9b   ;3870   :feef   ;3871   :fef0   ;3872   :fef0   ;3873   :f6ef   ;3874   :feef   ;3875   :feef   ;3876   :feee   ;3877   :feee   ;3878   :feed   ;3879   :feed   ;3880   :fecd   ;3881   :fecc   ;3882   :f6ac   ;3883   :f6cc   ;3884   :f6ab   ;3885   :f68b   ;3886   :f68b   ;3887   :f68b   ;3888   :ee6b   ;3889   :ee4a   ;3890   :ee4a   ;3891   :ee4a   ;3892   :ee2a   ;3893   :e62a   ;3894   :e62a   ;3895   :e609   ;3896   :d588   ;3897   :ac03   ;3898   :cce5   ;3899   :860    ;3900   :860    ;3901   :d568   ;3902   :bcc7   ;3903   :ee8e   ;3904   :ff11   ;3905   :ff11   ;3906   :ff11   ;3907   :ff11   ;3908   :ff32   ;3909   :ff32   ;3910   :ff32   ;3911   :ff33   ;3912   :ff33   ;3913   :ff33   ;3914   :ff34   ;3915   :ff54   ;3916   :ff54   ;3917   :ff54   ;3918   :ff55   ;3919   :ff55   ;3920   :ff55   ;3921   :ff55   ;3922   :ff55   ;3923   :ff55   ;3924   :ff55   ;3925   :ff55   ;3926   :ff55   ;3927   :ff55   ;3928   :ff55   ;3929   :ff55   ;3930   :ff55   ;3931   :ffd6   ;3932   :39e5   ;3933   :0  ;3934   :20 ;3935   :20 ;3936   :841    ;3937   :841    ;3938   :841    ;3939   :861    ;3940   :1082   ;3941   :1082   ;3942   :10a2   ;3943   :18c3   ;3944   :18c3   ;3945   :18e3   ;3946   :2104   ;3947   :a4ce   ;3948   :ffb6   ;3949   :ff76   ;3950   :c5f1   ;3951   :3186   ;3952   :31c7   ;3953   :840d   ;3954   :ff74   ;3955   :ff54   ;3956   :ff54   ;3957   :ff34   ;3958   :ff34   ;3959   :ff54   ;3960   :ff53   ;3961   :ff34   ;3962   :ff33   ;3963   :ff33   ;3964   :eed4   ;3965   :bdf8   ;3966   :ce79   ;3967   :dedc   ;3968   :ef7e   ;3969   :ff9b   ;3970   :ff0f   ;3971   :ff11   ;3972   :ff10   ;3973   :ff10   ;3974   :f6ef   ;3975   :feef   ;3976   :feef   ;3977   :feee   ;3978   :feee   ;3979   :feed   ;3980   :fecd   ;3981   :feed   ;3982   :fecc   ;3983   :f6cc   ;3984   :f6cc   ;3985   :f6ac   ;3986   :f6ab   ;3987   :f68b   ;3988   :ee8b   ;3989   :ee6b   ;3990   :ee6b   ;3991   :ee4a   ;3992   :ee4a   ;3993   :ee2a   ;3994   :e62a   ;3995   :e60a   ;3996   :dd88   ;3997   :b403   ;3998   :c4a4   ;3999   :860    ;4000   :860    ;4001   :d548   ;4002   :bca7   ;4003   :ee8e   ;4004   :ff11   ;4005   :ff10   ;4006   :ff11   ;4007   :ff11   ;4008   :ff11   ;4009   :ff32   ;4010   :ff32   ;4011   :ff32   ;4012   :ff33   ;4013   :ff33   ;4014   :ff33   ;4015   :ff54   ;4016   :ff54   ;4017   :ff54   ;4018   :ff54   ;4019   :ff55   ;4020   :ff55   ;4021   :ff55   ;4022   :ff55   ;4023   :ff55   ;4024   :ff55   ;4025   :ff55   ;4026   :ff55   ;4027   :ff55   ;4028   :ff55   ;4029   :ff55   ;4030   :ff55   ;4031   :ff96   ;4032   :0  ;4033   :20 ;4034   :20 ;4035   :41 ;4036   :840    ;4037   :861    ;4038   :841    ;4039   :861    ;4040   :1082   ;4041   :1082   ;4042   :10a2   ;4043   :18c3   ;4044   :18c3   ;4045   :18c3   ;4046   :39a6   ;4047   :d632   ;4048   :ff95   ;4049   :ffd6   ;4050   :62ea   ;4051   :31a6   ;4052   :39e7   ;4053   :736b   ;4054   :ff55   ;4055   :ff54   ;4056   :ff34   ;4057   :ff54   ;4058   :ff34   ;4059   :ff34   ;4060   :ff54   ;4061   :ff54   ;4062   :ff53   ;4063   :ff53   ;4064   :c615   ;4065   :bdf8   ;4066   :ce79   ;4067   :dedb   ;4068   :ef7e   ;4069   :ff9b   ;4070   :ff10   ;4071   :ff11   ;4072   :ff10   ;4073   :f6f0   ;4074   :feef   ;4075   :feef   ;4076   :feef   ;4077   :feef   ;4078   :f6ee   ;4079   :feee   ;4080   :fecd   ;4081   :feed   ;4082   :fecd   ;4083   :f6cc   ;4084   :fecc   ;4085   :f6ac   ;4086   :f6ab   ;4087   :f6ab   ;4088   :f68b   ;4089   :ee6b   ;4090   :ee6b   ;4091   :ee6b   ;4092   :ee4a   ;4093   :ee4a   ;4094   :ee2a   ;4095   :ee2a   ;4096   :dda8   ;4097   :b424   ;4098   :c4a4   ;4099   :840    ;4100   :860    ;4101   :d548   ;4102   :bca7   ;4103   :ee8d   ;4104   :ff10   ;4105   :ff10   ;4106   :ff10   ;4107   :ff11   ;4108   :ff11   ;4109   :ff11   ;4110   :ff32   ;4111   :ff32   ;4112   :ff32   ;4113   :ff33   ;4114   :ff33   ;4115   :ff33   ;4116   :ff34   ;4117   :ff54   ;4118   :ff54   ;4119   :ff54   ;4120   :ff55   ;4121   :ff55   ;4122   :ff55   ;4123   :ff55   ;4124   :ff55   ;4125   :ff55   ;4126   :ff55   ;4127   :ff55   ;4128   :ff55   ;4129   :ff55   ;4130   :ffb6   ;4131   :946c   ;4132   :0  ;4133   :0  ;4134   :820    ;4135   :20 ;4136   :821    ;4137   :841    ;4138   :841    ;4139   :861    ;4140   :881    ;4141   :1082   ;4142   :10a2   ;4143   :18c3   ;4144   :18c3   ;4145   :10c3   ;4146   :4a27   ;4147   :ff55   ;4148   :ff76   ;4149   :ff55   ;4150   :18c4   ;4151   :39c7   ;4152   :4208   ;4153   :3a08   ;4154   :ff34   ;4155   :ff75   ;4156   :ff54   ;4157   :ff54   ;4158   :ff54   ;4159   :ff54   ;4160   :ff54   ;4161   :ff34   ;4162   :ff54   ;4163   :de94   ;4164   :ad76   ;4165   :c618   ;4166   :ce79   ;4167   :dedb   ;4168   :ef7e   ;4169   :ff9a   ;4170   :ff10   ;4171   :ff11   ;4172   :ff11   ;4173   :ff10   ;4174   :ff10   ;4175   :f6f0   ;4176   :feef   ;4177   :feef   ;4178   :feef   ;4179   :feee   ;4180   :f6ee   ;4181   :feed   ;4182   :feed   ;4183   :fecd   ;4184   :fecc   ;4185   :fecc   ;4186   :f6ac   ;4187   :f6ac   ;4188   :f6ab   ;4189   :f68b   ;4190   :ee6b   ;4191   :ee6b   ;4192   :ee6b   ;4193   :ee4a   ;4194   :ee4a   ;4195   :ee4a   ;4196   :ddc8   ;4197   :b423   ;4198   :c4a4   ;4199   :840    ;4200   :860    ;4201   :d548   ;4202   :bca7   ;4203   :ee8d   ;4204   :ff10   ;4205   :ff10   ;4206   :ff10   ;4207   :ff10   ;4208   :ff11   ;4209   :ff11   ;4210   :ff31   ;4211   :ff32   ;4212   :ff32   ;4213   :ff32   ;4214   :ff33   ;4215   :ff33   ;4216   :ff33   ;4217   :ff34   ;4218   :ff54   ;4219   :ff54   ;4220   :ff54   ;4221   :ff55   ;4222   :ff55   ;4223   :ff55   ;4224   :ff55   ;4225   :ff55   ;4226   :ff55   ;4227   :ff55   ;4228   :ff55   ;4229   :ff55   ;4230   :ffd7   ;4231   :5267   ;4232   :0  ;4233   :20 ;4234   :20 ;4235   :21 ;4236   :40 ;4237   :841    ;4238   :841    ;4239   :861    ;4240   :881    ;4241   :1082   ;4242   :10a2   ;4243   :18c3   ;4244   :18c3   ;4245   :10a3   ;4246   :5288   ;4247   :fff7   ;4248   :ffb6   ;4249   :b530   ;4250   :2145   ;4251   :39c7   ;4252   :4208   ;4253   :39e8   ;4254   :acef   ;4255   :ffb5   ;4256   :ff54   ;4257   :ff54   ;4258   :ff34   ;4259   :ff54   ;4260   :ff54   ;4261   :ff54   ;4262   :e6b4   ;4263   :a534   ;4264   :b5b6   ;4265   :c618   ;4266   :ce79   ;4267   :defb   ;4268   :ef7e   ;4269   :ff99   ;4270   :ff11   ;4271   :ff11   ;4272   :ff11   ;4273   :ff11   ;4274   :ff10   ;4275   :ff10   ;4276   :fef0   ;4277   :feef   ;4278   :feef   ;4279   :feef   ;4280   :feee   ;4281   :feee   ;4282   :feed   ;4283   :feed   ;4284   :fecd   ;4285   :fecc   ;4286   :f6ac   ;4287   :f6ac   ;4288   :f6ac   ;4289   :f6ab   ;4290   :f68b   ;4291   :ee8b   ;4292   :ee6b   ;4293   :ee6b   ;4294   :ee4a   ;4295   :ee4a   ;4296   :ddc9   ;4297   :b444   ;4298   :ccc4   ;4299   :840    ;4300   :860    ;4301   :d548   ;4302   :bc86   ;4303   :ee6d   ;4304   :ff0f   ;4305   :ff0f   ;4306   :ff10   ;4307   :ff10   ;4308   :ff10   ;4309   :ff11   ;4310   :ff11   ;4311   :ff11   ;4312   :ff32   ;4313   :ff32   ;4314   :ff32   ;4315   :ff33   ;4316   :ff33   ;4317   :ff33   ;4318   :ff54   ;4319   :ff54   ;4320   :ff54   ;4321   :ff54   ;4322   :ff55   ;4323   :ff55   ;4324   :ff55   ;4325   :ff55   ;4326   :ff55   ;4327   :ff55   ;4328   :ff55   ;4329   :ff55   ;4330   :fff7   ;4331   :20e3   ;4332   :0  ;4333   :20 ;4334   :20 ;4335   :40 ;4336   :841    ;4337   :841    ;4338   :861    ;4339   :861    ;4340   :1062   ;4341   :1082   ;4342   :10a2   ;4343   :18c3   ;4344   :18c3   ;4345   :10a3   ;4346   :4a47   ;4347   :ff76   ;4348   :ffb6   ;4349   :8c2d   ;4350   :2945   ;4351   :39e7   ;4352   :4208   ;4353   :4228   ;4354   :528a   ;4355   :c5d1   ;4356   :ff95   ;4357   :ff95   ;4358   :ff74   ;4359   :ff95   ;4360   :ff34   ;4361   :ce13   ;4362   :a513   ;4363   :a534   ;4364   :b5b6   ;4365   :c618   ;4366   :ce7a   ;4367   :defb   ;4368   :ef7d   ;4369   :ff77   ;4370   :ff11   ;4371   :ff32   ;4372   :ff11   ;4373   :ff11   ;4374   :ff11   ;4375   :ff10   ;4376   :ff10   ;4377   :feef   ;4378   :f6ef   ;4379   :feef   ;4380   :feef   ;4381   :feee   ;4382   :feee   ;4383   :feed   ;4384   :feed   ;4385   :fecd   ;4386   :fecc   ;4387   :f6cc   ;4388   :f6ac   ;4389   :f6ab   ;4390   :f6ab   ;4391   :f68b   ;4392   :ee8b   ;4393   :f66b   ;4394   :ee6b   ;4395   :ee6b   ;4396   :e5e9   ;4397   :b444   ;4398   :ccc4   ;4399   :840    ;4400   :861    ;4401   :d548   ;4402   :bc86   ;4403   :ee8d   ;4404   :ff0f   ;4405   :feef   ;4406   :f70f   ;4407   :ff10   ;4408   :ff10   ;4409   :ff10   ;4410   :ff11   ;4411   :ff11   ;4412   :ff11   ;4413   :ff32   ;4414   :ff32   ;4415   :ff32   ;4416   :ff33   ;4417   :ff33   ;4418   :ff33   ;4419   :ff54   ;4420   :ff54   ;4421   :ff54   ;4422   :ff54   ;4423   :ff55   ;4424   :ff55   ;4425   :ff55   ;4426   :ff55   ;4427   :ff55   ;4428   :ff55   ;4429   :ff75   ;4430   :ff95   ;4431   :841    ;4432   :0  ;4433   :0  ;4434   :0  ;4435   :0  ;4436   :21 ;4437   :841    ;4438   :861    ;4439   :861    ;4440   :861    ;4441   :1082   ;4442   :10a2   ;4443   :18c3   ;4444   :18c3   ;4445   :18c3   ;4446   :41e6   ;4447   :e6b4   ;4448   :ffd7   ;4449   :83ec   ;4450   :2965   ;4451   :39c7   ;4452   :4208   ;4453   :4248   ;4454   :4a6a   ;4455   :5acb   ;4456   :8c4e   ;4457   :bd71   ;4458   :bdb2   ;4459   :ad31   ;4460   :94b1   ;4461   :8c91   ;4462   :9cd2   ;4463   :a554   ;4464   :b5b6   ;4465   :c618   ;4466   :ce7a   ;4467   :dedb   ;4468   :ef7d   ;4469   :ff54   ;4470   :ff32   ;4471   :ff32   ;4472   :ff32   ;4473   :ff11   ;4474   :ff11   ;4475   :ff11   ;4476   :ff10   ;4477   :ff10   ;4478   :fef0   ;4479   :f6ef   ;4480   :feef   ;4481   :feef   ;4482   :feee   ;4483   :feee   ;4484   :fecd   ;4485   :fecd   ;4486   :fecd   ;4487   :fecc   ;4488   :f6ac   ;4489   :f6ac   ;4490   :f6ab   ;4491   :f6ab   ;4492   :f68b   ;4493   :ee8b   ;4494   :ee6b   ;4495   :ee6b   ;4496   :e5e9   ;4497   :bc64   ;4498   :cce4   ;4499   :840    ;4500   :861    ;4501   :d548   ;4502   :bc87   ;4503   :ee6c   ;4504   :ff0f   ;4505   :feef   ;4506   :feef   ;4507   :ff0f   ;4508   :ff10   ;4509   :ff10   ;4510   :ff10   ;4511   :ff11   ;4512   :ff11   ;4513   :ff11   ;4514   :ff32   ;4515   :ff32   ;4516   :ff32   ;4517   :ff33   ;4518   :ff33   ;4519   :ff33   ;4520   :ff34   ;4521   :ff34   ;4522   :ff54   ;4523   :ff54   ;4524   :ff55   ;4525   :ff55   ;4526   :ff55   ;4527   :ff55   ;4528   :ff55   ;4529   :ff95   ;4530   :de72   ;4531   :0  ;4532   :2103   ;4533   :a4ce   ;4534   :c5b0   ;4535   :942d   ;4536   :20 ;4537   :20 ;4538   :861    ;4539   :861    ;4540   :881    ;4541   :1082   ;4542   :10a2   ;4543   :18c3   ;4544   :18c3   ;4545   :18c3   ;4546   :2964   ;4547   :bd90   ;4548   :fff7   ;4549   :a4cf   ;4550   :2145   ;4551   :39e7   ;4552   :4208   ;4553   :4248   ;4554   :528a   ;4555   :52aa   ;4556   :5acb   ;4557   :632c   ;4558   :6b6e   ;4559   :7bcf   ;4560   :8430   ;4561   :9472   ;4562   :9cd3   ;4563   :a555   ;4564   :b5b6   ;4565   :c618   ;4566   :ce79   ;4567   :dedb   ;4568   :ef7d   ;4569   :ff33   ;4570   :ff32   ;4571   :ff32   ;4572   :ff32   ;4573   :ff31   ;4574   :ff11   ;4575   :ff11   ;4576   :ff11   ;4577   :ff10   ;4578   :f6f0   ;4579   :ff10   ;4580   :f70f   ;4581   :feef   ;4582   :feef   ;4583   :feee   ;4584   :feee   ;4585   :fece   ;4586   :feed   ;4587   :fecd   ;4588   :fecc   ;4589   :fecc   ;4590   :f6cc   ;4591   :f6ac   ;4592   :f6ab   ;4593   :f68b   ;4594   :ee6b   ;4595   :f66b   ;4596   :e609   ;4597   :bc84   ;4598   :cd05   ;4599   :860    ;4600   :860    ;4601   :d548   ;4602   :bca7   ;4603   :ee6c   ;4604   :feee   ;4605   :f6ee   ;4606   :feef   ;4607   :feef   ;4608   :feef   ;4609   :fef0   ;4610   :ff10   ;4611   :ff10   ;4612   :ff11   ;4613   :ff11   ;4614   :ff11   ;4615   :ff32   ;4616   :ff32   ;4617   :ff32   ;4618   :ff33   ;4619   :ff33   ;4620   :ff53   ;4621   :ff34   ;4622   :ff54   ;4623   :ff54   ;4624   :ff54   ;4625   :ff55   ;4626   :ff55   ;4627   :ff54   ;4628   :ff55   ;4629   :ff75   ;4630   :c5d0   ;4631   :4a47   ;4632   :fff7   ;4633   :ffb6   ;4634   :ff96   ;4635   :fff7   ;4636   :e6b3   ;4637   :20e3   ;4638   :21 ;4639   :861    ;4640   :1082   ;4641   :1082   ;4642   :10a2   ;4643   :18c2   ;4644   :18c3   ;4645   :18e3   ;4646   :18e3   ;4647   :83ec   ;4648   :ff96   ;4649   :ff55   ;4650   :2145   ;4651   :39c7   ;4652   :4208   ;4653   :4a49   ;4654   :528a   ;4655   :52ab   ;4656   :5b0c   ;4657   :6b2c   ;4658   :738e   ;4659   :7bcf   ;4660   :8430   ;4661   :9491   ;4662   :9cd3   ;4663   :a534   ;4664   :b5b6   ;4665   :c618   ;4666   :ce79   ;4667   :defb   ;4668   :f738   ;4669   :ff32   ;4670   :ff33   ;4671   :ff33   ;4672   :ff32   ;4673   :ff32   ;4674   :ff31   ;4675   :ff31   ;4676   :ff11   ;4677   :ff11   ;4678   :ff10   ;4679   :ff10   ;4680   :fef0   ;4681   :f70f   ;4682   :feef   ;4683   :feef   ;4684   :feee   ;4685   :feee   ;4686   :feed   ;4687   :feed   ;4688   :feed   ;4689   :fecc   ;4690   :f6cc   ;4691   :f6cc   ;4692   :f6ac   ;4693   :f6ab   ;4694   :f68b   ;4695   :f68b   ;4696   :e62a   ;4697   :bcc4   ;4698   :d525   ;4699   :1060   ;4700   :860    ;4701   :d548   ;4702   :bca7   ;4703   :ee6c   ;4704   :feee   ;4705   :feee   ;4706   :feee   ;4707   :feef   ;4708   :feef   ;4709   :ff0f   ;4710   :ff10   ;4711   :ff10   ;4712   :ff10   ;4713   :ff11   ;4714   :ff11   ;4715   :ff11   ;4716   :ff32   ;4717   :ff32   ;4718   :ff32   ;4719   :ff33   ;4720   :ff33   ;4721   :ff33   ;4722   :ff34   ;4723   :ff54   ;4724   :ff54   ;4725   :ff54   ;4726   :ff54   ;4727   :ff55   ;4728   :ff55   ;4729   :ff55   ;4730   :cdf1   ;4731   :ff35   ;4732   :ff75   ;4733   :ff55   ;4734   :ff55   ;4735   :ff55   ;4736   :ff96   ;4737   :c5b0   ;4738   :18c2   ;4739   :41 ;4740   :1062   ;4741   :1082   ;4742   :10a2   ;4743   :10c2   ;4744   :18c3   ;4745   :18e4   ;4746   :18e4   ;4747   :39c6   ;4748   :de73   ;4749   :fff7   ;4750   :7bac   ;4751   :2966   ;4752   :4207   ;4753   :4a48   ;4754   :4a89   ;4755   :5acb   ;4756   :630c   ;4757   :6b4d   ;4758   :738e   ;4759   :7bcf   ;4760   :8430   ;4761   :9492   ;4762   :9cd3   ;4763   :a534   ;4764   :b5b6   ;4765   :c618   ;4766   :ce79   ;4767   :dedc   ;4768   :ff55   ;4769   :ff33   ;4770   :ff53   ;4771   :ff33   ;4772   :ff33   ;4773   :ff32   ;4774   :ff32   ;4775   :ff32   ;4776   :ff11   ;4777   :ff11   ;4778   :ff10   ;4779   :ff10   ;4780   :ff10   ;4781   :fef0   ;4782   :feef   ;4783   :feef   ;4784   :feef   ;4785   :feee   ;4786   :feee   ;4787   :feed   ;4788   :fecd   ;4789   :fecd   ;4790   :f6cc   ;4791   :f6cc   ;4792   :f6ac   ;4793   :f6ac   ;4794   :f6ac   ;4795   :f6ab   ;4796   :ee2a   ;4797   :c4e5   ;4798   :d545   ;4799   :860    ;4800   :1060   ;4801   :d568   ;4802   :bca7   ;4803   :ee6c   ;4804   :feee   ;4805   :feee   ;4806   :feee   ;4807   :feee   ;4808   :feef   ;4809   :feef   ;4810   :ff0f   ;4811   :ff10   ;4812   :ff10   ;4813   :ff10   ;4814   :ff11   ;4815   :ff11   ;4816   :ff31   ;4817   :ff32   ;4818   :ff32   ;4819   :ff32   ;4820   :ff33   ;4821   :ff33   ;4822   :ff33   ;4823   :ff54   ;4824   :ff54   ;4825   :ff54   ;4826   :ff54   ;4827   :ff55   ;4828   :ff55   ;4829   :ff55   ;4830   :ff75   ;4831   :ff75   ;4832   :ff55   ;4833   :ff55   ;4834   :ff55   ;4835   :ff55   ;4836   :ff55   ;4837   :ff75   ;4838   :8c0c   ;4839   :841    ;4840   :861    ;4841   :1082   ;4842   :10a2   ;4843   :10c3   ;4844   :18c3   ;4845   :18e3   ;4846   :2104   ;4847   :18e3   ;4848   :736b   ;4849   :ffb6   ;4850   :eed4   ;4851   :5aca   ;4852   :31a7   ;4853   :4a49   ;4854   :528a   ;4855   :52aa   ;4856   :630c   ;4857   :6b4d   ;4858   :738e   ;4859   :7bcf   ;4860   :8430   ;4861   :9491   ;4862   :9cd3   ;4863   :ad35   ;4864   :b5b6   ;4865   :c618   ;4866   :ce7a   ;4867   :e6f9   ;4868   :ff53   ;4869   :ff54   ;4870   :ff54   ;4871   :ff33   ;4872   :ff33   ;4873   :ff33   ;4874   :ff32   ;4875   :ff32   ;4876   :ff32   ;4877   :ff11   ;4878   :ff11   ;4879   :ff11   ;4880   :ff10   ;4881   :ff10   ;4882   :fef0   ;4883   :feef   ;4884   :feef   ;4885   :feef   ;4886   :feee   ;4887   :feee   ;4888   :feed   ;4889   :fecd   ;4890   :fecd   ;4891   :fecc   ;4892   :f6cc   ;4893   :f6cc   ;4894   :f6ac   ;4895   :f6ab   ;4896   :ee4a   ;4897   :cd25   ;4898   :dd86   ;4899   :1060   ;4900   :1060   ;4901   :dd89   ;4902   :bca7   ;4903   :ee6c   ;4904   :feed   ;4905   :f6ee   ;4906   :f6ee   ;4907   :feee   ;4908   :feee   ;4909   :feef   ;4910   :feef   ;4911   :ff0f   ;4912   :fef0   ;4913   :ff10   ;4914   :ff10   ;4915   :ff11   ;4916   :ff11   ;4917   :ff11   ;4918   :ff32   ;4919   :ff32   ;4920   :ff32   ;4921   :ff33   ;4922   :ff33   ;4923   :ff33   ;4924   :ff54   ;4925   :ff54   ;4926   :ff54   ;4927   :ff55   ;4928   :ff55   ;4929   :ff55   ;4930   :ff55   ;4931   :ff55   ;4932   :ff55   ;4933   :ff55   ;4934   :ff55   ;4935   :ff55   ;4936   :ff55   ;4937   :ff75   ;4938   :ffb6   ;4939   :62e9   ;4940   :0  ;4941   :861    ;4942   :10a2   ;4943   :10a2   ;4944   :18c3   ;4945   :18e3   ;4946   :2104   ;4947   :2124   ;4948   :2104   ;4949   :738b   ;4950   :fff8   ;4951   :e693   ;4952   :5aca   ;4953   :39e7   ;4954   :4a6a   ;4955   :52ca   ;4956   :62eb   ;4957   :6b2d   ;4958   :738e   ;4959   :7bef   ;4960   :8431   ;4961   :8c72   ;4962   :9cf3   ;4963   :a535   ;4964   :b5b6   ;4965   :c618   ;4966   :ce7a   ;4967   :f735   ;4968   :ff54   ;4969   :ff34   ;4970   :ff53   ;4971   :ff33   ;4972   :ff33   ;4973   :ff33   ;4974   :ff33   ;4975   :ff32   ;4976   :ff32   ;4977   :ff31   ;4978   :ff11   ;4979   :ff11   ;4980   :ff11   ;4981   :ff10   ;4982   :ff10   ;4983   :fef0   ;4984   :f70f   ;4985   :feef   ;4986   :feef   ;4987   :f6ee   ;4988   :feee   ;4989   :fecd   ;4990   :fecd   ;4991   :feed   ;4992   :fecc   ;4993   :f6cc   ;4994   :f6ac   ;4995   :f6ac   ;4996   :ee6a   ;4997   :d566   ;4998   :e5a6   ;4999   :860    ;5000   :1060   ;5001   :dda9   ;5002   :bcc7   ;5003   :ee4c   ;5004   :feed   ;5005   :fecd   ;5006   :f6ee   ;5007   :feee   ;5008   :feee   ;5009   :feee   ;5010   :feef   ;5011   :f70f   ;5012   :feef   ;5013   :ff10   ;5014   :ff10   ;5015   :ff10   ;5016   :ff11   ;5017   :ff11   ;5018   :ff11   ;5019   :ff32   ;5020   :ff32   ;5021   :ff32   ;5022   :ff33   ;5023   :ff33   ;5024   :ff33   ;5025   :ff34   ;5026   :ff34   ;5027   :ff54   ;5028   :ff55   ;5029   :ff55   ;5030   :ff55   ;5031   :ff55   ;5032   :ff55   ;5033   :ff55   ;5034   :ff55   ;5035   :ff55   ;5036   :ff55   ;5037   :ff55   ;5038   :ff75   ;5039   :ffd6   ;5040   :8c2c   ;5041   :21 ;5042   :862    ;5043   :18a3   ;5044   :18c3   ;5045   :18e3   ;5046   :2104   ;5047   :2124   ;5048   :2945   ;5049   :18e4   ;5050   :6b2a   ;5051   :f755   ;5052   :f735   ;5053   :83ed   ;5054   :528a   ;5055   :528a   ;5056   :5b0b   ;5057   :6b4c   ;5058   :738e   ;5059   :7bcf   ;5060   :8430   ;5061   :9492   ;5062   :9cd3   ;5063   :a554   ;5064   :b5b7   ;5065   :c5f8   ;5066   :e6d6   ;5067   :ff54   ;5068   :ff34   ;5069   :ff54   ;5070   :ff34   ;5071   :ff54   ;5072   :ff54   ;5073   :ff53   ;5074   :ff33   ;5075   :ff33   ;5076   :ff32   ;5077   :ff32   ;5078   :ff32   ;5079   :ff11   ;5080   :ff11   ;5081   :ff11   ;5082   :ff10   ;5083   :ff10   ;5084   :f6f0   ;5085   :f70f   ;5086   :feef   ;5087   :feef   ;5088   :f6ee   ;5089   :f6ee   ;5090   :feed   ;5091   :feed   ;5092   :fecd   ;5093   :fecd   ;5094   :fecc   ;5095   :feac   ;5096   :f68b   ;5097   :ddc6   ;5098   :ee07   ;5099   :1060   ;5100   :1060   ;5101   :e5ea   ;5102   :c4e8   ;5103   :ee4c   ;5104   :fecd   ;5105   :f6cd   ;5106   :feee   ;5107   :feee   ;5108   :feee   ;5109   :feee   ;5110   :feee   ;5111   :feef   ;5112   :feef   ;5113   :ff0f   ;5114   :fef0   ;5115   :ff10   ;5116   :ff10   ;5117   :ff11   ;5118   :ff11   ;5119   :ff11   ;5120   :ff32   ;5121   :ff32   ;5122   :ff32   ;5123   :ff33   ;5124   :ff33   ;5125   :ff53   ;5126   :ff34   ;5127   :ff34   ;5128   :ff54   ;5129   :ff34   ;5130   :ff55   ;5131   :ff55   ;5132   :ff55   ;5133   :ff55   ;5134   :ff54   ;5135   :ff55   ;5136   :ff55   ;5137   :ff55   ;5138   :ff55   ;5139   :ff55   ;5140   :fff7   ;5141   :83cb   ;5142   :2124   ;5143   :862    ;5144   :18c3   ;5145   :18e3   ;5146   :2104   ;5147   :2124   ;5148   :2945   ;5149   :2965   ;5150   :2966   ;5151   :4208   ;5152   :948e   ;5153   :d632   ;5154   :9ccf   ;5155   :736c   ;5156   :630c   ;5157   :632d   ;5158   :738e   ;5159   :7bcf   ;5160   :8430   ;5161   :9492   ;5162   :9cd3   ;5163   :a535   ;5164   :b596   ;5165   :d676   ;5166   :ff55   ;5167   :ff54   ;5168   :ff54   ;5169   :ff54   ;5170   :ff34   ;5171   :ff34   ;5172   :ff34   ;5173   :ff53   ;5174   :ff33   ;5175   :ff33   ;5176   :ff33   ;5177   :ff32   ;5178   :ff32   ;5179   :ff32   ;5180   :ff11   ;5181   :ff11   ;5182   :ff11   ;5183   :ff10   ;5184   :ff10   ;5185   :fef0   ;5186   :f6ef   ;5187   :feef   ;5188   :feef   ;5189   :feee   ;5190   :feee   ;5191   :feed   ;5192   :feed   ;5193   :fecd   ;5194   :fecc   ;5195   :fecc   ;5196   :f6ab   ;5197   :e627   ;5198   :f667   ;5199   :1060   ;5200   :1060   ;5201   :e60a   ;5202   :c508   ;5203   :ee6c   ;5204   :f6cd   ;5205   :f6cd   ;5206   :f6cd   ;5207   :fece   ;5208   :feed   ;5209   :feee   ;5210   :f6ee   ;5211   :feef   ;5212   :feef   ;5213   :feef   ;5214   :ff0f   ;5215   :ff10   ;5216   :ff10   ;5217   :ff10   ;5218   :ff11   ;5219   :ff11   ;5220   :ff11   ;5221   :ff32   ;5222   :ff32   ;5223   :ff32   ;5224   :ff33   ;5225   :ff33   ;5226   :ff33   ;5227   :ff34   ;5228   :ff34   ;5229   :ff54   ;5230   :ff54   ;5231   :ff55   ;5232   :ff55   ;5233   :ff55   ;5234   :ff55   ;5235   :ff55   ;5236   :ff55   ;5237   :ff55   ;5238   :ff55   ;5239   :ff55   ;5240   :ff55   ;5241   :ffb6   ;5242   :c5b1   ;5243   :4a47   ;5244   :862    ;5245   :10c3   ;5246   :2104   ;5247   :2124   ;5248   :2945   ;5249   :2965   ;5250   :31a6   ;5251   :39c7   ;5252   :31c7   ;5253   :4228   ;5254   :73ac   ;5255   :83ed   ;5256   :632c   ;5257   :632d   ;5258   :738e   ;5259   :7bcf   ;5260   :8431   ;5261   :9492   ;5262   :9cd3   ;5263   :a514   ;5264   :d655   ;5265   :f735   ;5266   :ff54   ;5267   :ff54   ;5268   :ff54   ;5269   :ff54   ;5270   :ff54   ;5271   :ff34   ;5272   :ff54   ;5273   :ff54   ;5274   :ff54   ;5275   :ff33   ;5276   :ff33   ;5277   :ff33   ;5278   :ff32   ;5279   :ff32   ;5280   :ff31   ;5281   :ff31   ;5282   :ff11   ;5283   :ff11   ;5284   :ff10   ;5285   :ff10   ;5286   :ff10   ;5287   :f6ef   ;5288   :feef   ;5289   :feef   ;5290   :feee   ;5291   :feee   ;5292   :feed   ;5293   :fecd   ;5294   :fecd   ;5295   :fecd   ;5296   :f6cb   ;5297   :ee88   ;5298   :fea8   ;5299   :1061   ;5300   :1061   ;5301   :ee2b   ;5302   :cd49   ;5303   :ee4c   ;5304   :f6cd   ;5305   :f6cd   ;5306   :f6cd   ;5307   :fece   ;5308   :fecd   ;5309   :fece   ;5310   :f6ee   ;5311   :feee   ;5312   :feee   ;5313   :feef   ;5314   :feef   ;5315   :f710   ;5316   :fef0   ;5317   :ff10   ;5318   :ff10   ;5319   :ff11   ;5320   :ff11   ;5321   :ff11   ;5322   :ff32   ;5323   :ff32   ;5324   :ff32   ;5325   :ff33   ;5326   :ff33   ;5327   :ff33   ;5328   :ff54   ;5329   :ff54   ;5330   :ff54   ;5331   :ff54   ;5332   :ff55   ;5333   :ff55   ;5334   :ff55   ;5335   :ff55   ;5336   :ff55   ;5337   :ff55   ;5338   :ff55   ;5339   :ff55   ;5340   :ff55   ;5341   :ff55   ;5342   :ff95   ;5343   :eef4   ;5344   :acee   ;5345   :2965   ;5346   :882    ;5347   :2104   ;5348   :2945   ;5349   :2965   ;5350   :31a6   ;5351   :39c7   ;5352   :4208   ;5353   :4228   ;5354   :4a6a   ;5355   :52aa   ;5356   :5aeb   ;5357   :6b4d   ;5358   :738e   ;5359   :7bef   ;5360   :8430   ;5361   :8c51   ;5362   :9cf3   ;5363   :d674   ;5364   :ff55   ;5365   :ff54   ;5366   :ff54   ;5367   :ff54   ;5368   :ff54   ;5369   :ff54   ;5370   :ff54   ;5371   :ff54   ;5372   :ff54   ;5373   :ff54   ;5374   :ff34   ;5375   :ff53   ;5376   :ff33   ;5377   :ff33   ;5378   :ff33   ;5379   :ff32   ;5380   :ff32   ;5381   :ff31   ;5382   :ff11   ;5383   :ff11   ;5384   :ff11   ;5385   :ff10   ;5386   :f710   ;5387   :feef   ;5388   :f70f   ;5389   :feef   ;5390   :feef   ;5391   :feee   ;5392   :f6ce   ;5393   :feed   ;5394   :feed   ;5395   :fecc   ;5396   :feec   ;5397   :f6e8   ;5398   :ff09   ;5399   :1080   ;5400   :1061   ;5401   :ee4c   ;5402   :cd69   ;5403   :ee4c   ;5404   :f6ad   ;5405   :f6ad   ;5406   :f6cd   ;5407   :f6cd   ;5408   :fecd   ;5409   :fece   ;5410   :f6ee   ;5411   :feee   ;5412   :feee   ;5413   :feef   ;5414   :feee   ;5415   :feef   ;5416   :ff0f   ;5417   :ff10   ;5418   :ff10   ;5419   :ff10   ;5420   :ff11   ;5421   :ff11   ;5422   :ff11   ;5423   :ff32   ;5424   :ff32   ;5425   :ff32   ;5426   :ff33   ;5427   :ff33   ;5428   :ff33   ;5429   :ff34   ;5430   :ff54   ;5431   :ff54   ;5432   :ff55   ;5433   :ff55   ;5434   :ff55   ;5435   :ff55   ;5436   :ff55   ;5437   :ff55   ;5438   :ff55   ;5439   :ff55   ;5440   :ff55   ;5441   :ff55   ;5442   :ff55   ;5443   :ff75   ;5444   :ff96   ;5445   :ff55   ;5446   :a4ef   ;5447   :4207   ;5448   :2104   ;5449   :2945   ;5450   :3186   ;5451   :31a7   ;5452   :39e8   ;5453   :4229   ;5454   :4a69   ;5455   :52aa   ;5456   :5aeb   ;5457   :632c   ;5458   :6b6e   ;5459   :73ce   ;5460   :8c51   ;5461   :bd93   ;5462   :f715   ;5463   :ff55   ;5464   :ff55   ;5465   :ff55   ;5466   :ff35   ;5467   :ff54   ;5468   :ff34   ;5469   :ff34   ;5470   :ff54   ;5471   :ff54   ;5472   :ff54   ;5473   :ff54   ;5474   :ff34   ;5475   :ff34   ;5476   :ff54   ;5477   :ff33   ;5478   :ff33   ;5479   :ff33   ;5480   :ff32   ;5481   :ff32   ;5482   :ff32   ;5483   :ff11   ;5484   :ff11   ;5485   :ff11   ;5486   :ff10   ;5487   :ff10   ;5488   :ff10   ;5489   :feef   ;5490   :feef   ;5491   :feef   ;5492   :feee   ;5493   :fece   ;5494   :fecd   ;5495   :feed   ;5496   :f6cc   ;5497   :fee8   ;5498   :ff8a   ;5499   :1080   ;5500   :1060   ;5501   :f66c   ;5502   :d58a   ;5503   :ee4c   ;5504   :f6ad   ;5505   :f6ad   ;5506   :f6ad   ;5507   :f6ad   ;5508   :f6cd   ;5509   :fece   ;5510   :fece   ;5511   :f6ee   ;5512   :feee   ;5513   :feee   ;5514   :feee   ;5515   :feef   ;5516   :f6ef   ;5517   :ff0f   ;5518   :fef0   ;5519   :ff10   ;5520   :ff10   ;5521   :ff11   ;5522   :ff11   ;5523   :ff31   ;5524   :ff32   ;5525   :ff32   ;5526   :ff32   ;5527   :ff33   ;5528   :ff33   ;5529   :ff33   ;5530   :ff54   ;5531   :ff54   ;5532   :ff54   ;5533   :ff54   ;5534   :ff55   ;5535   :ff55   ;5536   :ff55   ;5537   :ff55   ;5538   :ff55   ;5539   :ff55   ;5540   :ff55   ;5541   :ff55   ;5542   :ff55   ;5543   :ff55   ;5544   :ff55   ;5545   :ff55   ;5546   :ffb6   ;5547   :fff6   ;5548   :de93   ;5549   :a4ae   ;5550   :6b2a   ;5551   :5ac9   ;5552   :5aaa   ;5553   :5aea   ;5554   :632b   ;5555   :6b4c   ;5556   :738d   ;5557   :83ee   ;5558   :a4f0   ;5559   :de74   ;5560   :ff95   ;5561   :ff96   ;5562   :ff75   ;5563   :ff55   ;5564   :ff55   ;5565   :ff55   ;5566   :ff55   ;5567   :ff55   ;5568   :ff54   ;5569   :ff34   ;5570   :ff54   ;5571   :ff54   ;5572   :ff54   ;5573   :ff54   ;5574   :ff54   ;5575   :ff34   ;5576   :ff34   ;5577   :ff54   ;5578   :ff33   ;5579   :ff33   ;5580   :ff33   ;5581   :ff32   ;5582   :ff32   ;5583   :ff32   ;5584   :ff11   ;5585   :ff11   ;5586   :ff11   ;5587   :ff10   ;5588   :ff10   ;5589   :f6f0   ;5590   :feef   ;5591   :feef   ;5592   :feef   ;5593   :feee   ;5594   :f6ee   ;5595   :feee   ;5596   :feec   ;5597   :f6e8   ;5598   :ffaa   ;5599   :1080   ;5600   :1061   ;5601   :f6ad   ;5602   :ddcb   ;5603   :ee6c   ;5604   :f68c   ;5605   :f6ad   ;5606   :f6ad   ;5607   :f6ad   ;5608   :f6ad   ;5609   :f6cd   ;5610   :fecd   ;5611   :fece   ;5612   :fece   ;5613   :feee   ;5614   :feee   ;5615   :feee   ;5616   :feef   ;5617   :feef   ;5618   :ff10   ;5619   :ff10   ;5620   :ff10   ;5621   :ff10   ;5622   :ff11   ;5623   :ff11   ;5624   :ff11   ;5625   :ff32   ;5626   :ff32   ;5627   :ff32   ;5628   :ff33   ;5629   :ff33   ;5630   :ff33   ;5631   :ff54   ;5632   :ff34   ;5633   :ff54   ;5634   :ff54   ;5635   :ff54   ;5636   :ff55   ;5637   :ff55   ;5638   :ff55   ;5639   :ff55   ;5640   :ff55   ;5641   :ff55   ;5642   :ff55   ;5643   :ff55   ;5644   :ff55   ;5645   :ff55   ;5646   :ff55   ;5647   :ff55   ;5648   :ff75   ;5649   :ffb6   ;5650   :ffd7   ;5651   :ff76   ;5652   :eed4   ;5653   :eef4   ;5654   :eef4   ;5655   :eef4   ;5656   :eef5   ;5657   :ff76   ;5658   :ff96   ;5659   :ff76   ;5660   :ff55   ;5661   :ff55   ;5662   :ff55   ;5663   :ff55   ;5664   :ff55   ;5665   :ff55   ;5666   :ff55   ;5667   :ff55   ;5668   :ff55   ;5669   :ff54   ;5670   :ff54   ;5671   :ff54   ;5672   :ff54   ;5673   :ff54   ;5674   :ff54   ;5675   :ff54   ;5676   :ff34   ;5677   :ff34   ;5678   :ff54   ;5679   :ff53   ;5680   :ff33   ;5681   :ff33   ;5682   :ff32   ;5683   :ff32   ;5684   :ff31   ;5685   :ff11   ;5686   :ff11   ;5687   :ff11   ;5688   :ff10   ;5689   :ff10   ;5690   :feef   ;5691   :f6ef   ;5692   :feef   ;5693   :feee   ;5694   :feef   ;5695   :feee   ;5696   :feec   ;5697   :f6e8   ;5698   :ffaa   ;5699   :1080   ;5700   :1081   ;5701   :fecd   ;5702   :ddeb   ;5703   :ee6c   ;5704   :ee8c   ;5705   :f68c   ;5706   :f68c   ;5707   :f6ad   ;5708   :f6ad   ;5709   :f6ad   ;5710   :f6cd   ;5711   :fecd   ;5712   :fece   ;5713   :feee   ;5714   :feee   ;5715   :feee   ;5716   :feef   ;5717   :feef   ;5718   :feef   ;5719   :ff0f   ;5720   :ff10   ;5721   :ff10   ;5722   :ff10   ;5723   :ff11   ;5724   :ff11   ;5725   :ff11   ;5726   :ff32   ;5727   :ff32   ;5728   :ff33   ;5729   :ff33   ;5730   :ff33   ;5731   :ff33   ;5732   :ff34   ;5733   :ff34   ;5734   :ff54   ;5735   :ff54   ;5736   :ff54   ;5737   :ff55   ;5738   :ff55   ;5739   :ff55   ;5740   :ff55   ;5741   :ff55   ;5742   :ff55   ;5743   :ff55   ;5744   :ff55   ;5745   :ff55   ;5746   :ff55   ;5747   :ff55   ;5748   :ff55   ;5749   :ff55   ;5750   :ff55   ;5751   :ff55   ;5752   :ff75   ;5753   :ff75   ;5754   :ff56   ;5755   :ff76   ;5756   :ff75   ;5757   :ff55   ;5758   :ff55   ;5759   :ff55   ;5760   :ff55   ;5761   :ff55   ;5762   :ff55   ;5763   :ff55   ;5764   :ff75   ;5765   :ff55   ;5766   :ff55   ;5767   :ff55   ;5768   :ff55   ;5769   :ff55   ;5770   :ff54   ;5771   :ff54   ;5772   :ff54   ;5773   :ff54   ;5774   :ff54   ;5775   :ff54   ;5776   :ff54   ;5777   :ff54   ;5778   :ff54   ;5779   :ff53   ;5780   :ff33   ;5781   :ff33   ;5782   :ff33   ;5783   :ff32   ;5784   :ff32   ;5785   :ff32   ;5786   :ff11   ;5787   :ff11   ;5788   :ff11   ;5789   :ff10   ;5790   :ff10   ;5791   :ff10   ;5792   :f6ef   ;5793   :feef   ;5794   :feef   ;5795   :feee   ;5796   :feed   ;5797   :f6e8   ;5798   :ffa9   ;5799   :10a0   ;5800   :1081   ;5801   :feee   ;5802   :e60c   ;5803   :ee4c   ;5804   :ee8c   ;5805   :f68c   ;5806   :f68c   ;5807   :f6ad   ;5808   :f6ad   ;5809   :f6ad   ;5810   :f6cd   ;5811   :f6cd   ;5812   :fece   ;5813   :fecd   ;5814   :feee   ;5815   :f6ee   ;5816   :feee   ;5817   :feee   ;5818   :feef   ;5819   :feef   ;5820   :ff0f   ;5821   :ff10   ;5822   :ff10   ;5823   :ff10   ;5824   :ff11   ;5825   :ff11   ;5826   :ff11   ;5827   :ff32   ;5828   :ff32   ;5829   :ff32   ;5830   :ff33   ;5831   :ff33   ;5832   :ff33   ;5833   :ff34   ;5834   :ff54   ;5835   :ff54   ;5836   :ff54   ;5837   :ff55   ;5838   :ff55   ;5839   :ff55   ;5840   :ff55   ;5841   :ff55   ;5842   :ff55   ;5843   :ff75   ;5844   :ff55   ;5845   :ff55   ;5846   :ff55   ;5847   :ff55   ;5848   :ff55   ;5849   :ff55   ;5850   :ff55   ;5851   :ff55   ;5852   :ff55   ;5853   :ff55   ;5854   :ff55   ;5855   :ff55   ;5856   :ff55   ;5857   :ff55   ;5858   :ff55   ;5859   :ff55   ;5860   :ff55   ;5861   :ff55   ;5862   :ff55   ;5863   :ff55   ;5864   :ff55   ;5865   :ff55   ;5866   :ff55   ;5867   :ff55   ;5868   :ff55   ;5869   :ff55   ;5870   :ff35   ;5871   :ff54   ;5872   :ff54   ;5873   :ff54   ;5874   :ff34   ;5875   :ff54   ;5876   :ff54   ;5877   :ff54   ;5878   :ff54   ;5879   :ff34   ;5880   :ff33   ;5881   :ff33   ;5882   :ff33   ;5883   :ff33   ;5884   :ff32   ;5885   :ff32   ;5886   :ff31   ;5887   :ff11   ;5888   :ff11   ;5889   :ff11   ;5890   :ff10   ;5891   :f710   ;5892   :fef0   ;5893   :f6ef   ;5894   :feef   ;5895   :feef   ;5896   :feed   ;5897   :f6e9   ;5898   :ffaa   ;5899   :10a0   ;5900   :1081   ;5901   :ff2f   ;5902   :e64d   ;5903   :ee4c   ;5904   :ee6c   ;5905   :ee6c   ;5906   :ee8c   ;5907   :f68c   ;5908   :f68c   ;5909   :f6ad   ;5910   :f6ad   ;5911   :f6ad   ;5912   :f6cd   ;5913   :fece   ;5914   :fece   ;5915   :fecd   ;5916   :f6ee   ;5917   :feee   ;5918   :feee   ;5919   :feef   ;5920   :f6ef   ;5921   :feef   ;5922   :fef0   ;5923   :ff10   ;5924   :ff10   ;5925   :ff11   ;5926   :ff31   ;5927   :ff11   ;5928   :ff32   ;5929   :ff32   ;5930   :ff32   ;5931   :ff33   ;5932   :ff33   ;5933   :ff33   ;5934   :f754   ;5935   :ff53   ;5936   :ff34   ;5937   :ff35   ;5938   :ff54   ;5939   :ff55   ;5940   :ff55   ;5941   :ff55   ;5942   :ff55   ;5943   :ff55   ;5944   :ff55   ;5945   :ff55   ;5946   :ff55   ;5947   :ff55   ;5948   :ff55   ;5949   :ff55   ;5950   :ff55   ;5951   :ff55   ;5952   :ff55   ;5953   :ff55   ;5954   :ff55   ;5955   :ff55   ;5956   :ff55   ;5957   :ff55   ;5958   :ff55   ;5959   :ff55   ;5960   :ff55   ;5961   :ff55   ;5962   :ff55   ;5963   :ff55   ;5964   :ff55   ;5965   :ff55   ;5966   :ff55   ;5967   :ff55   ;5968   :ff35   ;5969   :ff55   ;5970   :ff55   ;5971   :ff55   ;5972   :ff54   ;5973   :ff54   ;5974   :ff54   ;5975   :ff55   ;5976   :ff34   ;5977   :ff54   ;5978   :ff34   ;5979   :ff54   ;5980   :ff34   ;5981   :ff54   ;5982   :ff33   ;5983   :ff33   ;5984   :ff33   ;5985   :ff32   ;5986   :ff32   ;5987   :ff31   ;5988   :ff31   ;5989   :ff11   ;5990   :ff11   ;5991   :ff10   ;5992   :ff10   ;5993   :f6f0   ;5994   :f70f   ;5995   :feef   ;5996   :feed   ;5997   :f6e8   ;5998   :ffaa   ;5999   :1080   ;6000   :10a1   ;6001   :ff4f   ;6002   :ee6d   ;6003   :ee4c   ;6004   :ee6c   ;6005   :ee6c   ;6006   :ee6c   ;6007   :ee8c   ;6008   :f68c   ;6009   :f68c   ;6010   :f6ad   ;6011   :f6ad   ;6012   :f6ad   ;6013   :f6cd   ;6014   :fecd   ;6015   :fecd   ;6016   :f6ee   ;6017   :feee   ;6018   :feee   ;6019   :feee   ;6020   :feef   ;6021   :feef   ;6022   :feef   ;6023   :ff0f   ;6024   :ff10   ;6025   :ff11   ;6026   :ff11   ;6027   :ff31   ;6028   :ff31   ;6029   :ff32   ;6030   :ff32   ;6031   :ff33   ;6032   :ff32   ;6033   :ff33   ;6034   :ff34   ;6035   :ff54   ;6036   :ff54   ;6037   :ff54   ;6038   :ff55   ;6039   :ff55   ;6040   :ff55   ;6041   :ff55   ;6042   :ff55   ;6043   :ff55   ;6044   :ff55   ;6045   :ff55   ;6046   :ff55   ;6047   :ff55   ;6048   :ff55   ;6049   :ff55   ;6050   :ff55   ;6051   :ff55   ;6052   :ff55   ;6053   :ff55   ;6054   :ff55   ;6055   :ff55   ;6056   :ff55   ;6057   :ff55   ;6058   :ff55   ;6059   :ff55   ;6060   :ff55   ;6061   :ff55   ;6062   :ff55   ;6063   :ff55   ;6064   :ff55   ;6065   :ff55   ;6066   :ff55   ;6067   :ff55   ;6068   :ff55   ;6069   :f735   ;6070   :f714   ;6071   :f734   ;6072   :f714   ;6073   :ff95   ;6074   :ff55   ;6075   :ff54   ;6076   :ff54   ;6077   :ff54   ;6078   :ff54   ;6079   :ff54   ;6080   :ff34   ;6081   :ff54   ;6082   :ff54   ;6083   :ff53   ;6084   :ff33   ;6085   :ff33   ;6086   :ff32   ;6087   :ff32   ;6088   :ff32   ;6089   :ff11   ;6090   :ff11   ;6091   :ff11   ;6092   :ff10   ;6093   :fef0   ;6094   :feef   ;6095   :fef0   ;6096   :feed   ;6097   :f6e8   ;6098   :ff8a   ;6099   :1080   ;6100   :10a1   ;6101   :ff70   ;6102   :ee8e   ;6103   :ee4c   ;6104   :ee4b   ;6105   :ee4c   ;6106   :ee6c   ;6107   :ee8c   ;6108   :ee8c   ;6109   :f68c   ;6110   :f6ad   ;6111   :f6ad   ;6112   :f6ad   ;6113   :f6cd   ;6114   :f6cd   ;6115   :fecd   ;6116   :fece   ;6117   :f6ee   ;6118   :feee   ;6119   :feee   ;6120   :ff0f   ;6121   :ff70   ;6122   :ff70   ;6123   :ff70   ;6124   :ff50   ;6125   :ff50   ;6126   :ff51   ;6127   :ff51   ;6128   :ff72   ;6129   :ff72   ;6130   :ff72   ;6131   :ff73   ;6132   :ff73   ;6133   :ff73   ;6134   :ff94   ;6135   :ff94   ;6136   :ff54   ;6137   :ff74   ;6138   :ff95   ;6139   :ff95   ;6140   :ff95   ;6141   :ff75   ;6142   :ff75   ;6143   :ff75   ;6144   :ff75   ;6145   :ff75   ;6146   :ff75   ;6147   :ff75   ;6148   :ff54   ;6149   :ff54   ;6150   :ff55   ;6151   :ff55   ;6152   :ff55   ;6153   :ff55   ;6154   :ff55   ;6155   :ff55   ;6156   :ff55   ;6157   :ff55   ;6158   :ff55   ;6159   :ff55   ;6160   :ff55   ;6161   :ff55   ;6162   :ff55   ;6163   :ff55   ;6164   :ff55   ;6165   :ff55   ;6166   :ff55   ;6167   :ff55   ;6168   :ff55   ;6169   :9caf   ;6170   :7bac   ;6171   :83ed   ;6172   :840d   ;6173   :b551   ;6174   :ff75   ;6175   :ff55   ;6176   :ff54   ;6177   :ff54   ;6178   :ff34   ;6179   :ff34   ;6180   :ff54   ;6181   :ff34   ;6182   :ff34   ;6183   :ff54   ;6184   :ff33   ;6185   :ff33   ;6186   :ff33   ;6187   :ff32   ;6188   :ff32   ;6189   :ff32   ;6190   :ff11   ;6191   :ff11   ;6192   :ff11   ;6193   :ff10   ;6194   :ff10   ;6195   :fef0   ;6196   :f6ee   ;6197   :f6c8   ;6198   :ff89   ;6199   :1080   ;6200   :10a1   ;6201   :ff90   ;6202   :f6ae   ;6203   :ee4c   ;6204   :e62b   ;6205   :ee4b   ;6206   :ee4c   ;6207   :ee6c   ;6208   :ee6c   ;6209   :ee8c   ;6210   :f68c   ;6211   :f6ad   ;6212   :f6ad   ;6213   :f6ad   ;6214   :f6ad   ;6215   :f6cd   ;6216   :fece   ;6217   :fece   ;6218   :feee   ;6219   :ff0e   ;6220   :c58b   ;6221   :7327   ;6222   :6b07   ;6223   :7b67   ;6224   :9c6a   ;6225   :a4ab   ;6226   :a4ab   ;6227   :accc   ;6228   :accc   ;6229   :acec   ;6230   :aced   ;6231   :ad0d   ;6232   :b52e   ;6233   :9c8d   ;6234   :8bec   ;6235   :acee   ;6236   :ff73   ;6237   :b550   ;6238   :9caf   ;6239   :a4f0   ;6240   :ad10   ;6241   :ce53   ;6242   :d633   ;6243   :d674   ;6244   :de95   ;6245   :de95   ;6246   :e6d5   ;6247   :e6f6   ;6248   :ef17   ;6249   :ef39   ;6250   :ff77   ;6251   :ff55   ;6252   :ff55   ;6253   :ff55   ;6254   :ff55   ;6255   :ff55   ;6256   :ff55   ;6257   :ff55   ;6258   :ff55   ;6259   :ff55   ;6260   :ff55   ;6261   :ff55   ;6262   :ff55   ;6263   :ff55   ;6264   :ff55   ;6265   :ff55   ;6266   :ff55   ;6267   :ff55   ;6268   :f735   ;6269   :6b4b   ;6270   :31a7   ;6271   :4229   ;6272   :4a29   ;6273   :a510   ;6274   :ff95   ;6275   :ff54   ;6276   :ff54   ;6277   :ff54   ;6278   :ff54   ;6279   :ff34   ;6280   :ff34   ;6281   :ff94   ;6282   :ff34   ;6283   :ff53   ;6284   :ff53   ;6285   :ff33   ;6286   :ff33   ;6287   :ff33   ;6288   :ff32   ;6289   :ff32   ;6290   :ff31   ;6291   :ff31   ;6292   :ff11   ;6293   :ff11   ;6294   :ff10   ;6295   :ff10   ;6296   :feee   ;6297   :f6c8   ;6298   :ff89   ;6299   :1080   ;6300   :1081   ;6301   :ff70   ;6302   :feef   ;6303   :ee2c   ;6304   :e62b   ;6305   :e64b   ;6306   :ee4b   ;6307   :ee4c   ;6308   :ee6c   ;6309   :ee8c   ;6310   :ee8c   ;6311   :f68c   ;6312   :f6ad   ;6313   :f6ad   ;6314   :f6ad   ;6315   :f6ad   ;6316   :f6cd   ;6317   :fecd   ;6318   :fece   ;6319   :ff2e   ;6320   :9c49   ;6321   :20 ;6322   :20 ;6323   :21 ;6324   :21 ;6325   :41 ;6326   :862    ;6327   :1082   ;6328   :18c3   ;6329   :10c3   ;6330   :18c3   ;6331   :20e4   ;6332   :2145   ;6333   :2966   ;6334   :2946   ;6335   :9c6d   ;6336   :ff54   ;6337   :5aca   ;6338   :4a8a   ;6339   :5aec   ;6340   :632d   ;6341   :73ae   ;6342   :7bf0   ;6343   :8c51   ;6344   :94b3   ;6345   :a554   ;6346   :b5b6   ;6347   :c638   ;6348   :d69a   ;6349   :e71d   ;6350   :ff79   ;6351   :ff54   ;6352   :ff55   ;6353   :ff55   ;6354   :ff55   ;6355   :ff55   ;6356   :ff55   ;6357   :ff55   ;6358   :ff55   ;6359   :ff55   ;6360   :ff55   ;6361   :ff55   ;6362   :ff55   ;6363   :ff55   ;6364   :ff55   ;6365   :ff55   ;6366   :ff55   ;6367   :ff56   ;6368   :ff35   ;6369   :736b   ;6370   :39e8   ;6371   :4a49   ;6372   :4a4a   ;6373   :ffd6   ;6374   :ff55   ;6375   :ff35   ;6376   :ff55   ;6377   :ff54   ;6378   :ff54   ;6379   :ff54   ;6380   :ff75   ;6381   :9c6e   ;6382   :ff95   ;6383   :ff54   ;6384   :ff34   ;6385   :ff54   ;6386   :ff53   ;6387   :ff33   ;6388   :ff33   ;6389   :ff32   ;6390   :ff32   ;6391   :ff31   ;6392   :ff11   ;6393   :ff11   ;6394   :ff11   ;6395   :ff11   ;6396   :feef   ;6397   :f6c8   ;6398   :ff89   ;6399   :1080   ;6400   :1060   ;6401   :feee   ;6402   :f6cf   ;6403   :e62b   ;6404   :e60b   ;6405   :e62b   ;6406   :ee2b   ;6407   :ee4b   ;6408   :ee4c   ;6409   :ee6c   ;6410   :ee6c   ;6411   :ee8c   ;6412   :f68c   ;6413   :f68c   ;6414   :f6ad   ;6415   :f6ad   ;6416   :f6ad   ;6417   :f6cd   ;6418   :fece   ;6419   :ff2e   ;6420   :9c48   ;6421   :841    ;6422   :21 ;6423   :18c2   ;6424   :2923   ;6425   :2943   ;6426   :2103   ;6427   :1082   ;6428   :18c3   ;6429   :2964   ;6430   :39c5   ;6431   :39e6   ;6432   :39c6   ;6433   :2965   ;6434   :2966   ;6435   :d630   ;6436   :ff53   ;6437   :5aca   ;6438   :5aca   ;6439   :6b4c   ;6440   :738d   ;6441   :7bef   ;6442   :8c30   ;6443   :9491   ;6444   :9cf3   ;6445   :ad55   ;6446   :b596   ;6447   :c638   ;6448   :d6ba   ;6449   :e73d   ;6450   :ff77   ;6451   :ff55   ;6452   :ff55   ;6453   :ff55   ;6454   :ff55   ;6455   :ff55   ;6456   :ff55   ;6457   :ff55   ;6458   :ff55   ;6459   :ff55   ;6460   :ff55   ;6461   :ff55   ;6462   :ff55   ;6463   :ff55   ;6464   :ff55   ;6465   :ff55   ;6466   :ff55   ;6467   :ff75   ;6468   :ff35   ;6469   :736b   ;6470   :39e7   ;6471   :4229   ;6472   :738c   ;6473   :ffb6   ;6474   :ff55   ;6475   :ff54   ;6476   :ff35   ;6477   :ff54   ;6478   :ff55   ;6479   :ff54   ;6480   :ff95   ;6481   :9c8e   ;6482   :de52   ;6483   :ff74   ;6484   :ff54   ;6485   :ff54   ;6486   :ff54   ;6487   :ff33   ;6488   :ff33   ;6489   :ff33   ;6490   :ff32   ;6491   :ff32   ;6492   :ff32   ;6493   :ff11   ;6494   :ff11   ;6495   :ff11   ;6496   :feef   ;6497   :f6a8   ;6498   :ff89   ;6499   :1080   ;6500   :1060   ;6501   :ee6c   ;6502   :ee6d   ;6503   :e60b   ;6504   :e5ea   ;6505   :e60b   ;6506   :e62b   ;6507   :e62b   ;6508   :ee4b   ;6509   :ee4c   ;6510   :ee6c   ;6511   :ee8c   ;6512   :ee8c   ;6513   :f68c   ;6514   :f68c   ;6515   :f6ad   ;6516   :f6ad   ;6517   :f6cd   ;6518   :f6cd   ;6519   :ff2e   ;6520   :9408   ;6521   :21 ;6522   :0  ;6523   :acca   ;6524   :ee6d   ;6525   :eeae   ;6526   :7328   ;6527   :842    ;6528   :3184   ;6529   :c58d   ;6530   :eeaf   ;6531   :eed0   ;6532   :9c6c   ;6533   :2125   ;6534   :39e7   ;6535   :e671   ;6536   :ff53   ;6537   :a4ce   ;6538   :c5b0   ;6539   :d631   ;6540   :d632   ;6541   :de53   ;6542   :de73   ;6543   :de93   ;6544   :eed5   ;6545   :bdd4   ;6546   :b596   ;6547   :c618   ;6548   :d6ba   ;6549   :ef3b   ;6550   :ff56   ;6551   :ff55   ;6552   :ff55   ;6553   :ff55   ;6554   :ff55   ;6555   :ff55   ;6556   :ff55   ;6557   :ff55   ;6558   :ff55   ;6559   :ff55   ;6560   :ff75   ;6561   :ff55   ;6562   :ff55   ;6563   :ff55   ;6564   :ff55   ;6565   :ff55   ;6566   :ff55   ;6567   :ff75   ;6568   :f735   ;6569   :630a   ;6570   :39e7   ;6571   :4229   ;6572   :83ed   ;6573   :ffb6   ;6574   :ff55   ;6575   :ff55   ;6576   :ff55   ;6577   :ff54   ;6578   :ff54   ;6579   :ff54   ;6580   :ff95   ;6581   :a4ce   ;6582   :83ed   ;6583   :ffb5   ;6584   :ff54   ;6585   :ff54   ;6586   :ff54   ;6587   :ff53   ;6588   :ff53   ;6589   :ff33   ;6590   :ff33   ;6591   :ff32   ;6592   :ff32   ;6593   :ff32   ;6594   :ff11   ;6595   :ff31   ;6596   :f6ef   ;6597   :f6a8   ;6598   :ff69   ;6599   :1080   ;6600   :1060   ;6601   :e60b   ;6602   :de0c   ;6603   :ddeb   ;6604   :e5ea   ;6605   :e60a   ;6606   :e60b   ;6607   :e62b   ;6608   :e62b   ;6609   :ee4b   ;6610   :ee4c   ;6611   :ee6c   ;6612   :ee8c   ;6613   :ee8c   ;6614   :f68c   ;6615   :f68c   ;6616   :f6ad   ;6617   :f6ad   ;6618   :f6ad   ;6619   :ff2e   ;6620   :8387   ;6621   :0  ;6622   :0  ;6623   :d5ec   ;6624   :ff2e   ;6625   :ff90   ;6626   :6b07   ;6627   :842    ;6628   :41e5   ;6629   :eeaf   ;6630   :ff50   ;6631   :ff71   ;6632   :83ca   ;6633   :2125   ;6634   :5aa9   ;6635   :eeb1   ;6636   :ff52   ;6637   :ff73   ;6638   :ff53   ;6639   :ff74   ;6640   :ff74   ;6641   :ff74   ;6642   :ff74   ;6643   :ff94   ;6644   :de74   ;6645   :a554   ;6646   :b596   ;6647   :ce58   ;6648   :ef17   ;6649   :ff56   ;6650   :ff55   ;6651   :ff55   ;6652   :ff55   ;6653   :ff55   ;6654   :ff55   ;6655   :ff55   ;6656   :ff55   ;6657   :ff55   ;6658   :ff55   ;6659   :ff55   ;6660   :eef4   ;6661   :ff76   ;6662   :fff7   ;6663   :ff96   ;6664   :ff55   ;6665   :ff55   ;6666   :ff55   ;6667   :ff55   ;6668   :f715   ;6669   :5269   ;6670   :41e8   ;6671   :4208   ;6672   :9caf   ;6673   :ffb6   ;6674   :ff55   ;6675   :ff55   ;6676   :ff55   ;6677   :ff55   ;6678   :ff55   ;6679   :ff54   ;6680   :ffb5   ;6681   :8c2d   ;6682   :630b   ;6683   :ff75   ;6684   :ff54   ;6685   :ff34   ;6686   :ff54   ;6687   :ff34   ;6688   :ff33   ;6689   :ff33   ;6690   :ff33   ;6691   :ff33   ;6692   :ff32   ;6693   :ff32   ;6694   :ff31   ;6695   :ff32   ;6696   :f6ef   ;6697   :ee88   ;6698   :ff6a   ;6699   :1080   ;6700   :1060   ;6701   :dda9   ;6702   :d5aa   ;6703   :ddca   ;6704   :ddea   ;6705   :e5ea   ;6706   :e60a   ;6707   :e60b   ;6708   :e62b   ;6709   :e62b   ;6710   :ee4b   ;6711   :ee4c   ;6712   :ee6c   ;6713   :ee8c   ;6714   :ee8c   ;6715   :f68c   ;6716   :f6ad   ;6717   :f6ad   ;6718   :f6ad   ;6719   :feed   ;6720   :6b06   ;6721   :0  ;6722   :0  ;6723   :ff8f   ;6724   :ff0f   ;6725   :ffaf   ;6726   :41e4   ;6727   :42 ;6728   :4a46   ;6729   :ff50   ;6730   :ff30   ;6731   :ff71   ;6732   :7369   ;6733   :2105   ;6734   :62e9   ;6735   :eed1   ;6736   :ff52   ;6737   :ff32   ;6738   :ff73   ;6739   :d631   ;6740   :ff73   ;6741   :ff53   ;6742   :ff74   ;6743   :d653   ;6744   :9cd3   ;6745   :a535   ;6746   :b5b6   ;6747   :eef5   ;6748   :ff54   ;6749   :ff55   ;6750   :ff55   ;6751   :ff55   ;6752   :ff55   ;6753   :ff55   ;6754   :ff55   ;6755   :ff55   ;6756   :ff55   ;6757   :ff55   ;6758   :ff75   ;6759   :f6f4   ;6760   :39c5   ;6761   :2944   ;6762   :4a26   ;6763   :bdb0   ;6764   :ff96   ;6765   :ff55   ;6766   :ff55   ;6767   :ff75   ;6768   :f714   ;6769   :39e7   ;6770   :4208   ;6771   :4208   ;6772   :b551   ;6773   :ff96   ;6774   :ff55   ;6775   :ff55   ;6776   :ff55   ;6777   :ff35   ;6778   :ff55   ;6779   :ff75   ;6780   :ffb5   ;6781   :4a48   ;6782   :5aeb   ;6783   :f6f4   ;6784   :ff54   ;6785   :ff34   ;6786   :ff34   ;6787   :ff34   ;6788   :ff34   ;6789   :ff34   ;6790   :ff53   ;6791   :ff33   ;6792   :ff33   ;6793   :ff32   ;6794   :ff32   ;6795   :ff32   ;6796   :f6ef   ;6797   :ee68   ;6798   :ff4a   ;6799   :1080   ;6800   :860    ;6801   :cd48   ;6802   :c529   ;6803   :dda9   ;6804   :ddc9   ;6805   :ddca   ;6806   :e5ea   ;6807   :e60a   ;6808   :e60b   ;6809   :ee2b   ;6810   :e62b   ;6811   :ee4b   ;6812   :ee4c   ;6813   :ee6c   ;6814   :ee6c   ;6815   :ee8c   ;6816   :f68c   ;6817   :f68c   ;6818   :f6ad   ;6819   :ee6c   ;6820   :5245   ;6821   :0  ;6822   :0  ;6823   :ff2e   ;6824   :ee6d   ;6825   :ff0e   ;6826   :3184   ;6827   :842    ;6828   :5266   ;6829   :ff0f   ;6830   :eeaf   ;6831   :feef   ;6832   :39e6   ;6833   :2125   ;6834   :7baa   ;6835   :f6f1   ;6836   :ff32   ;6837   :eed2   ;6838   :944d   ;6839   :52ab   ;6840   :6b6d   ;6841   :e6b3   ;6842   :d612   ;6843   :8c51   ;6844   :94b3   ;6845   :ad55   ;6846   :eef5   ;6847   :ff75   ;6848   :ff55   ;6849   :ff55   ;6850   :ff35   ;6851   :ff55   ;6852   :ff55   ;6853   :ff55   ;6854   :ff55   ;6855   :ff55   ;6856   :ff55   ;6857   :ff55   ;6858   :ff76   ;6859   :e6d4   ;6860   :21 ;6861   :861    ;6862   :841    ;6863   :2124   ;6864   :fff7   ;6865   :ff55   ;6866   :ff55   ;6867   :ff75   ;6868   :f6f4   ;6869   :2145   ;6870   :4228   ;6871   :39e8   ;6872   :cdf2   ;6873   :ff76   ;6874   :ff55   ;6875   :ff55   ;6876   :ff55   ;6877   :ff55   ;6878   :ff75   ;6879   :ff96   ;6880   :738b   ;6881   :3187   ;6882   :630b   ;6883   :eef4   ;6884   :ff74   ;6885   :ff54   ;6886   :ff35   ;6887   :ff54   ;6888   :ff54   ;6889   :ff54   ;6890   :ff54   ;6891   :ff33   ;6892   :ff33   ;6893   :ff33   ;6894   :ff32   ;6895   :ff33   ;6896   :f70f   ;6897   :ee67   ;6898   :ff2a   ;6899   :1080   ;6900   :840    ;6901   :c507   ;6902   :bce8   ;6903   :d589   ;6904   :ddc9   ;6905   :ddca   ;6906   :ddca   ;6907   :e5ea   ;6908   :e60b   ;6909   :e60b   ;6910   :e62b   ;6911   :e62b   ;6912   :ee4b   ;6913   :ee4c   ;6914   :ee6c   ;6915   :ee6c   ;6916   :ee8c   ;6917   :f68c   ;6918   :f6ad   ;6919   :e62c   ;6920   :41c4   ;6921   :0  ;6922   :841    ;6923   :0  ;6924   :0  ;6925   :0  ;6926   :862    ;6927   :10c2   ;6928   :1082   ;6929   :22 ;6930   :842    ;6931   :883    ;6932   :2145   ;6933   :2945   ;6934   :8c0b   ;6935   :ff11   ;6936   :ff11   ;6937   :9cad   ;6938   :4a4a   ;6939   :5aec   ;6940   :6b4d   ;6941   :6b6e   ;6942   :8410   ;6943   :8c71   ;6944   :9cd3   ;6945   :eed4   ;6946   :ff74   ;6947   :ff54   ;6948   :ff55   ;6949   :ff55   ;6950   :ff55   ;6951   :ff55   ;6952   :ff55   ;6953   :ff55   ;6954   :ff55   ;6955   :ff55   ;6956   :ff55   ;6957   :ff55   ;6958   :ff96   ;6959   :b56f   ;6960   :0  ;6961   :10a2   ;6962   :21 ;6963   :b570   ;6964   :ff96   ;6965   :ff55   ;6966   :ff55   ;6967   :ff76   ;6968   :d632   ;6969   :2966   ;6970   :4228   ;6971   :39e8   ;6972   :e6b4   ;6973   :ff75   ;6974   :ff55   ;6975   :ff55   ;6976   :ff55   ;6977   :ff95   ;6978   :eed3   ;6979   :734a   ;6980   :2124   ;6981   :31a7   ;6982   :632b   ;6983   :f734   ;6984   :ff54   ;6985   :ff54   ;6986   :ff54   ;6987   :ff54   ;6988   :ff54   ;6989   :ff54   ;6990   :ff54   ;6991   :ff33   ;6992   :ff53   ;6993   :ff33   ;6994   :ff33   ;6995   :ff33   ;6996   :f6f0   ;6997   :e647   ;6998   :ff29   ;6999   :1080   ;7000   :840    ;7001   :bcc6   ;7002   :b4a6   ;7003   :d568   ;7004   :dda9   ;7005   :dda9   ;7006   :ddc9   ;7007   :ddca   ;7008   :e5ea   ;7009   :e60b   ;7010   :e60b   ;7011   :e62b   ;7012   :e62b   ;7013   :ee4b   ;7014   :ee4c   ;7015   :ee6c   ;7016   :ee8c   ;7017   :ee8c   ;7018   :f6ad   ;7019   :d5cb   ;7020   :3163   ;7021   :0  ;7022   :861    ;7023   :18a2   ;7024   :18a2   ;7025   :18c2   ;7026   :1082   ;7027   :10a2   ;7028   :2103   ;7029   :2944   ;7030   :2944   ;7031   :31a5   ;7032   :2145   ;7033   :2125   ;7034   :a4ac   ;7035   :ff51   ;7036   :ff11   ;7037   :eed0   ;7038   :b52e   ;7039   :6b4c   ;7040   :632d   ;7041   :73af   ;7042   :8410   ;7043   :8c71   ;7044   :bdb3   ;7045   :ff74   ;7046   :ff34   ;7047   :ff34   ;7048   :ff55   ;7049   :ff55   ;7050   :ff55   ;7051   :ff55   ;7052   :ff55   ;7053   :ff55   ;7054   :ff55   ;7055   :ff55   ;7056   :ff55   ;7057   :ff55   ;7058   :ffd7   ;7059   :6b29   ;7060   :21 ;7061   :1082   ;7062   :2103   ;7063   :f735   ;7064   :ff75   ;7065   :ff55   ;7066   :ff55   ;7067   :ff96   ;7068   :b530   ;7069   :2966   ;7070   :4208   ;7071   :39c8   ;7072   :f735   ;7073   :ff75   ;7074   :ff55   ;7075   :ff55   ;7076   :ffb6   ;7077   :d652   ;7078   :4a26   ;7079   :1082   ;7080   :2145   ;7081   :3186   ;7082   :8c2e   ;7083   :ffb5   ;7084   :ff54   ;7085   :f735   ;7086   :f755   ;7087   :ff34   ;7088   :ff54   ;7089   :ff54   ;7090   :ff54   ;7091   :ff34   ;7092   :ff53   ;7093   :ff33   ;7094   :ff33   ;7095   :ff33   ;7096   :f6f0   ;7097   :e607   ;7098   :ff09   ;7099   :1080   ;7100   :840    ;7101   :b485   ;7102   :ac45   ;7103   :cd48   ;7104   :dd89   ;7105   :dda9   ;7106   :dda9   ;7107   :ddc9   ;7108   :e5ea   ;7109   :e5ea   ;7110   :e60a   ;7111   :e60b   ;7112   :e62b   ;7113   :e62b   ;7114   :ee4b   ;7115   :ee4c   ;7116   :ee6c   ;7117   :ee8c   ;7118   :f6ac   ;7119   :c54a   ;7120   :18a1   ;7121   :0  ;7122   :5245   ;7123   :d5cb   ;7124   :d5ab   ;7125   :9428   ;7126   :18c2   ;7127   :10a2   ;7128   :8c08   ;7129   :d5ed   ;7130   :d5ec   ;7131   :cdad   ;7132   :18e4   ;7133   :2145   ;7134   :acec   ;7135   :ff51   ;7136   :ff10   ;7137   :ff31   ;7138   :ff31   ;7139   :de71   ;7140   :83ee   ;7141   :6b8e   ;7142   :8410   ;7143   :8c71   ;7144   :a513   ;7145   :c5f4   ;7146   :ff74   ;7147   :ff54   ;7148   :ff34   ;7149   :ff55   ;7150   :ff54   ;7151   :ff55   ;7152   :ff55   ;7153   :ff55   ;7154   :ff55   ;7155   :ff55   ;7156   :ff55   ;7157   :ff55   ;7158   :fff8   ;7159   :18c3   ;7160   :861    ;7161   :841    ;7162   :6b09   ;7163   :ff76   ;7164   :ff55   ;7165   :ff55   ;7166   :ff55   ;7167   :ffb6   ;7168   :944d   ;7169   :3186   ;7170   :4208   ;7171   :39c8   ;7172   :f735   ;7173   :ff75   ;7174   :ff55   ;7175   :ff96   ;7176   :de52   ;7177   :2123   ;7178   :21 ;7179   :18e3   ;7180   :2125   ;7181   :39e7   ;7182   :f6f4   ;7183   :ff75   ;7184   :ff54   ;7185   :eef6   ;7186   :ef38   ;7187   :ff54   ;7188   :ff34   ;7189   :ff34   ;7190   :ff54   ;7191   :ff34   ;7192   :ff54   ;7193   :ff54   ;7194   :ff33   ;7195   :ff53   ;7196   :f6f0   ;7197   :e607   ;7198   :fee9   ;7199   :1081   ;7200   :840    ;7201   :b444   ;7202   :a404   ;7203   :cd27   ;7204   :d588   ;7205   :dd89   ;7206   :dda9   ;7207   :dda9   ;7208   :ddca   ;7209   :ddca   ;7210   :e5ea   ;7211   :e60b   ;7212   :e60b   ;7213   :ee2b   ;7214   :e64b   ;7215   :ee4c   ;7216   :ee4c   ;7217   :ee6b   ;7218   :f6ad   ;7219   :bd2a   ;7220   :861    ;7221   :0  ;7222   :7347   ;7223   :ff4e   ;7224   :ff2e   ;7225   :acea   ;7226   :18c2   ;7227   :10a2   ;7228   :bd2a   ;7229   :ff4f   ;7230   :ff4f   ;7231   :de0d   ;7232   :10c4   ;7233   :31a6   ;7234   :bd6d   ;7235   :ff70   ;7236   :ff50   ;7237   :ff51   ;7238   :ff51   ;7239   :ff71   ;7240   :ff52   ;7241   :9490   ;7242   :7bef   ;7243   :8c71   ;7244   :94d3   ;7245   :de93   ;7246   :ff73   ;7247   :ff53   ;7248   :ff54   ;7249   :ff34   ;7250   :ff54   ;7251   :ff55   ;7252   :ff55   ;7253   :ff55   ;7254   :ff55   ;7255   :ff55   ;7256   :ff55   ;7257   :ff75   ;7258   :eef4   ;7259   :0  ;7260   :1081   ;7261   :21 ;7262   :c5b0   ;7263   :ff95   ;7264   :ff55   ;7265   :ff55   ;7266   :ff55   ;7267   :ffd7   ;7268   :62ea   ;7269   :31a6   ;7270   :4208   ;7271   :4a49   ;7272   :f735   ;7273   :ff55   ;7274   :ff76   ;7275   :f715   ;7276   :2944   ;7277   :0  ;7278   :1082   ;7279   :18a3   ;7280   :3185   ;7281   :bdb0   ;7282   :f715   ;7283   :ff55   ;7284   :ff54   ;7285   :e6d6   ;7286   :ef3c   ;7287   :ff53   ;7288   :ff55   ;7289   :ff34   ;7290   :ff54   ;7291   :ff34   ;7292   :ff54   ;7293   :ff34   ;7294   :ff53   ;7295   :ff53   ;7296   :f6f0   ;7297   :ddc7   ;7298   :fec9   ;7299   :1081   ;7300   :840    ;7301   :ac03   ;7302   :9bc3   ;7303   :c507   ;7304   :d588   ;7305   :d588   ;7306   :dd89   ;7307   :dda9   ;7308   :dda9   ;7309   :ddc9   ;7310   :ddea   ;7311   :e5ea   ;7312   :e60a   ;7313   :e60b   ;7314   :ee0b   ;7315   :ee4b   ;7316   :ee4c   ;7317   :ee4c   ;7318   :f6ac   ;7319   :b4c9   ;7320   :0  ;7321   :0  ;7322   :acc9   ;7323   :ff0e   ;7324   :ff4e   ;7325   :8be8   ;7326   :1062   ;7327   :18c3   ;7328   :e64d   ;7329   :ff4e   ;7330   :ff4e   ;7331   :bd4b   ;7332   :18c4   ;7333   :39c6   ;7334   :d5ed   ;7335   :7b6a   ;7336   :5289   ;7337   :83ec   ;7338   :8c4c   ;7339   :946d   ;7340   :9caf   ;7341   :a510   ;7342   :8410   ;7343   :8c71   ;7344   :b573   ;7345   :ce14   ;7346   :ce35   ;7347   :de96   ;7348   :e6d8   ;7349   :ef39   ;7350   :ff9b   ;7351   :ff55   ;7352   :ff55   ;7353   :ff55   ;7354   :ff55   ;7355   :ff55   ;7356   :ff55   ;7357   :fff7   ;7358   :6b4a   ;7359   :21 ;7360   :841    ;7361   :39a5   ;7362   :de93   ;7363   :ff76   ;7364   :ff55   ;7365   :ff55   ;7366   :ff55   ;7367   :fff7   ;7368   :3186   ;7369   :39e7   ;7370   :4208   ;7371   :3a08   ;7372   :f735   ;7373   :ff55   ;7374   :ffb6   ;7375   :738a   ;7376   :0  ;7377   :861    ;7378   :1082   ;7379   :10a3   ;7380   :b54f   ;7381   :4a48   ;7382   :ffb6   ;7383   :ff55   ;7384   :ff55   ;7385   :ce37   ;7386   :ef3d   ;7387   :ff54   ;7388   :ff54   ;7389   :ff54   ;7390   :ff54   ;7391   :ff34   ;7392   :ff54   ;7393   :ff34   ;7394   :ff54   ;7395   :ff54   ;7396   :f6f0   ;7397   :d5a7   ;7398   :f6a9   ;7399   :1081   ;7400   :840    ;7401   :a3c2   ;7402   :9b83   ;7403   :c4e7   ;7404   :d568   ;7405   :d568   ;7406   :d588   ;7407   :d589   ;7408   :dda9   ;7409   :dda9   ;7410   :ddca   ;7411   :ddea   ;7412   :e5ea   ;7413   :e5eb   ;7414   :e60b   ;7415   :ee2b   ;7416   :ee2b   ;7417   :ee4b   ;7418   :f68c   ;7419   :9427   ;7420   :0  ;7421   :0  ;7422   :9428   ;7423   :d5ab   ;7424   :d5eb   ;7425   :6b06   ;7426   :861    ;7427   :18c3   ;7428   :acaa   ;7429   :bd4b   ;7430   :c56b   ;7431   :7348   ;7432   :1905   ;7433   :5267   ;7434   :e64e   ;7435   :62e9   ;7436   :39e8   ;7437   :4229   ;7438   :4a8a   ;7439   :5acb   ;7440   :632d   ;7441   :73af   ;7442   :8410   ;7443   :8c71   ;7444   :94b3   ;7445   :a514   ;7446   :b597   ;7447   :c638   ;7448   :d69a   ;7449   :df3d   ;7450   :ff79   ;7451   :ff53   ;7452   :ff54   ;7453   :ff55   ;7454   :ff55   ;7455   :ff55   ;7456   :ff75   ;7457   :f755   ;7458   :2103   ;7459   :841    ;7460   :21 ;7461   :736a   ;7462   :ff55   ;7463   :ff55   ;7464   :ff55   ;7465   :ff55   ;7466   :ff75   ;7467   :eef4   ;7468   :2125   ;7469   :39e7   ;7470   :4228   ;7471   :39c8   ;7472   :f734   ;7473   :ff76   ;7474   :f735   ;7475   :41e5   ;7476   :0  ;7477   :861    ;7478   :882    ;7479   :39c6   ;7480   :946e   ;7481   :39c7   ;7482   :b550   ;7483   :f735   ;7484   :d654   ;7485   :bdd7   ;7486   :ef5d   ;7487   :ff53   ;7488   :ff54   ;7489   :ff54   ;7490   :ff54   ;7491   :ff54   ;7492   :ff34   ;7493   :ff54   ;7494   :ff54   ;7495   :ff54   ;7496   :f6d1   ;7497   :d567   ;7498   :f669   ;7499   :1080   ;7500   :840    ;7501   :a3a2   ;7502   :9362   ;7503   :c4c6   ;7504   :d548   ;7505   :d547   ;7506   :d568   ;7507   :d588   ;7508   :dd89   ;7509   :dda9   ;7510   :dda9   ;7511   :ddc9   ;7512   :ddea   ;7513   :e5ea   ;7514   :e60b   ;7515   :e60a   ;7516   :e62b   ;7517   :ee4b   ;7518   :ee4c   ;7519   :62a5   ;7520   :0  ;7521   :1  ;7522   :2943   ;7523   :2923   ;7524   :3143   ;7525   :18c2   ;7526   :882    ;7527   :10a2   ;7528   :2124   ;7529   :2104   ;7530   :2144   ;7531   :2944   ;7532   :2125   ;7533   :5267   ;7534   :cdad   ;7535   :4a68   ;7536   :31a8   ;7537   :5aca   ;7538   :630b   ;7539   :6b4c   ;7540   :738d   ;7541   :73af   ;7542   :8410   ;7543   :9491   ;7544   :a513   ;7545   :ad54   ;7546   :bdd6   ;7547   :c638   ;7548   :d6ba   ;7549   :e73d   ;7550   :ff54   ;7551   :ff54   ;7552   :ff54   ;7553   :ff55   ;7554   :ff54   ;7555   :ff55   ;7556   :ffd6   ;7557   :9c6d   ;7558   :861    ;7559   :841    ;7560   :10a2   ;7561   :ad0f   ;7562   :ffb6   ;7563   :ff55   ;7564   :ff55   ;7565   :ff55   ;7566   :ff96   ;7567   :a4cf   ;7568   :2965   ;7569   :39c7   ;7570   :4208   ;7571   :39e8   ;7572   :ef15   ;7573   :ff96   ;7574   :d632   ;7575   :734a   ;7576   :62c8   ;7577   :0  ;7578   :861    ;7579   :2964   ;7580   :944d   ;7581   :4207   ;7582   :5aeb   ;7583   :9490   ;7584   :9cd2   ;7585   :b5d7   ;7586   :ef5a   ;7587   :ff54   ;7588   :ff55   ;7589   :ff54   ;7590   :ff54   ;7591   :ff54   ;7592   :ff54   ;7593   :ff54   ;7594   :ff54   ;7595   :ff54   ;7596   :eed1   ;7597   :cd46   ;7598   :ee49   ;7599   :1060   ;7600   :840    ;7601   :9b81   ;7602   :8b41   ;7603   :bca6   ;7604   :d527   ;7605   :d547   ;7606   :d548   ;7607   :d568   ;7608   :d568   ;7609   :dd89   ;7610   :dda9   ;7611   :dda9   ;7612   :ddc9   ;7613   :ddea   ;7614   :e5ea   ;7615   :e60a   ;7616   :e60a   ;7617   :e64b   ;7618   :e60b   ;7619   :3162   ;7620   :0  ;7621   :0  ;7622   :0  ;7623   :0  ;7624   :0  ;7625   :41 ;7626   :1082   ;7627   :882    ;7628   :22 ;7629   :842    ;7630   :863    ;7631   :10c4   ;7632   :18e4   ;7633   :4207   ;7634   :c58c   ;7635   :de4d   ;7636   :de2e   ;7637   :e66e   ;7638   :e68f   ;7639   :eecf   ;7640   :b52f   ;7641   :738e   ;7642   :7bf0   ;7643   :c5d1   ;7644   :f6f1   ;7645   :f6f2   ;7646   :c616   ;7647   :c619   ;7648   :d69b   ;7649   :ef19   ;7650   :ff33   ;7651   :ff54   ;7652   :ff54   ;7653   :ff55   ;7654   :ff54   ;7655   :ff75   ;7656   :f735   ;7657   :4a46   ;7658   :0  ;7659   :21 ;7660   :39e6   ;7661   :f715   ;7662   :ff75   ;7663   :ff55   ;7664   :ff55   ;7665   :ff55   ;7666   :fff7   ;7667   :62e9   ;7668   :2966   ;7669   :39e7   ;7670   :4208   ;7671   :39e8   ;7672   :ce12   ;7673   :ff96   ;7674   :f714   ;7675   :ef14   ;7676   :ff76   ;7677   :6309   ;7678   :0  ;7679   :10a3   ;7680   :62e9   ;7681   :62ea   ;7682   :4a8a   ;7683   :6b6d   ;7684   :9492   ;7685   :bdd7   ;7686   :f757   ;7687   :ff55   ;7688   :ff55   ;7689   :ff34   ;7690   :ff35   ;7691   :ff54   ;7692   :ff54   ;7693   :ff54   ;7694   :ff34   ;7695   :ff54   ;7696   :eeb1   ;7697   :c506   ;7698   :e609   ;7699   :1060   ;7700   :840    ;7701   :9360   ;7702   :8b21   ;7703   :bca5   ;7704   :cd07   ;7705   :cd27   ;7706   :cd27   ;7707   :d548   ;7708   :d568   ;7709   :d568   ;7710   :dd89   ;7711   :dda9   ;7712   :dda9   ;7713   :ddca   ;7714   :ddca   ;7715   :e5ea   ;7716   :e5eb   ;7717   :e60a   ;7718   :ddeb   ;7719   :6ac5   ;7720   :7b26   ;7721   :8387   ;7722   :b509   ;7723   :bd29   ;7724   :b4e9   ;7725   :39c4   ;7726   :41 ;7727   :41e5   ;7728   :d5cb   ;7729   :c58b   ;7730   :bd6b   ;7731   :9429   ;7732   :9449   ;7733   :8be9   ;7734   :cdac   ;7735   :ff2e   ;7736   :ff0f   ;7737   :ff0f   ;7738   :ff0f   ;7739   :ff50   ;7740   :b52f   ;7741   :6b8e   ;7742   :7bf0   ;7743   :cdf1   ;7744   :ff51   ;7745   :f6f1   ;7746   :ad77   ;7747   :c638   ;7748   :d6ba   ;7749   :f733   ;7750   :ff52   ;7751   :f733   ;7752   :ff34   ;7753   :ff34   ;7754   :ff54   ;7755   :ff95   ;7756   :a4ce   ;7757   :841    ;7758   :40 ;7759   :20 ;7760   :83eb   ;7761   :ffd6   ;7762   :ff55   ;7763   :ff55   ;7764   :ff55   ;7765   :ff96   ;7766   :ce11   ;7767   :39a6   ;7768   :3186   ;7769   :39c7   ;7770   :4208   ;7771   :4208   ;7772   :a4cf   ;7773   :ff96   ;7774   :ff75   ;7775   :ff75   ;7776   :ff76   ;7777   :ff96   ;7778   :7bab   ;7779   :18e3   ;7780   :18e4   ;7781   :4227   ;7782   :4a6a   ;7783   :6b6d   ;7784   :9492   ;7785   :d675   ;7786   :ff75   ;7787   :ff55   ;7788   :ff55   ;7789   :ff55   ;7790   :ff54   ;7791   :ff34   ;7792   :ff34   ;7793   :ff54   ;7794   :ff34   ;7795   :ff54   ;7796   :eeb1   ;7797   :bcc6   ;7798   :ddc8   ;7799   :1060   ;7800   :840    ;7801   :9340   ;7802   :82e0   ;7803   :bc85   ;7804   :cce6   ;7805   :cd07   ;7806   :cd07   ;7807   :cd27   ;7808   :d548   ;7809   :d568   ;7810   :d588   ;7811   :dd89   ;7812   :dda9   ;7813   :dda9   ;7814   :ddc9   ;7815   :ddea   ;7816   :e5ea   ;7817   :e5ea   ;7818   :ee2b   ;7819   :feac   ;7820   :feac   ;7821   :f6ac   ;7822   :f68c   ;7823   :fecd   ;7824   :d5cb   ;7825   :39a4   ;7826   :21 ;7827   :7347   ;7828   :ff2e   ;7829   :feed   ;7830   :ff0e   ;7831   :ff2e   ;7832   :ff2e   ;7833   :ff2e   ;7834   :ff0e   ;7835   :feee   ;7836   :feee   ;7837   :feef   ;7838   :feef   ;7839   :ff4f   ;7840   :948e   ;7841   :738e   ;7842   :8430   ;7843   :de31   ;7844   :ff51   ;7845   :bdd4   ;7846   :b597   ;7847   :c639   ;7848   :e6b7   ;7849   :ff32   ;7850   :ff33   ;7851   :ff33   ;7852   :ff53   ;7853   :ff53   ;7854   :ff54   ;7855   :ff34   ;7856   :c590   ;7857   :a4ad   ;7858   :944d   ;7859   :62e9   ;7860   :de52   ;7861   :ff75   ;7862   :ff55   ;7863   :ff55   ;7864   :ff55   ;7865   :ff96   ;7866   :7bab   ;7867   :2945   ;7868   :31a6   ;7869   :5269   ;7870   :5aea   ;7871   :4228   ;7872   :6b4c   ;7873   :fff6   ;7874   :ff55   ;7875   :ff35   ;7876   :ff55   ;7877   :ff75   ;7878   :ffd6   ;7879   :d652   ;7880   :7bcc   ;7881   :6b2b   ;7882   :7bed   ;7883   :9cd0   ;7884   :de94   ;7885   :ff75   ;7886   :ff55   ;7887   :ff55   ;7888   :ff55   ;7889   :ff55   ;7890   :ff55   ;7891   :ff55   ;7892   :ff54   ;7893   :ff54   ;7894   :ff54   ;7895   :ff54   ;7896   :eeb1   ;7897   :bca6   ;7898   :dd88   ;7899   :1060   ;7900   :840    ;7901   :9320   ;7902   :82e0   ;7903   :bc64   ;7904   :cce6   ;7905   :cd06   ;7906   :cd07   ;7907   :cd07   ;7908   :d527   ;7909   :d548   ;7910   :d568   ;7911   :d588   ;7912   :d588   ;7913   :dd89   ;7914   :dda9   ;7915   :ddc9   ;7916   :ddea   ;7917   :e5ea   ;7918   :ddea   ;7919   :cd8a   ;7920   :e60b   ;7921   :feac   ;7922   :fecc   ;7923   :feed   ;7924   :cdab   ;7925   :2943   ;7926   :1  ;7927   :9c48   ;7928   :ff6e   ;7929   :ff2e   ;7930   :ff2d   ;7931   :ff4e   ;7932   :feed   ;7933   :ee6d   ;7934   :feee   ;7935   :feed   ;7936   :feee   ;7937   :feee   ;7938   :feee   ;7939   :ff4f   ;7940   :840d   ;7941   :738e   ;7942   :8c50   ;7943   :de50   ;7944   :f6f1   ;7945   :b574   ;7946   :b5b6   ;7947   :c639   ;7948   :eef4   ;7949   :ff32   ;7950   :ff33   ;7951   :ff33   ;7952   :ff33   ;7953   :ff33   ;7954   :ff34   ;7955   :ff54   ;7956   :ff75   ;7957   :ffb5   ;7958   :ffb6   ;7959   :ffd6   ;7960   :ff76   ;7961   :ff55   ;7962   :ff55   ;7963   :ff55   ;7964   :ff96   ;7965   :de52   ;7966   :4207   ;7967   :2125   ;7968   :31a6   ;7969   :944d   ;7970   :7bac   ;7971   :4209   ;7972   :4a6a   ;7973   :ff55   ;7974   :ff75   ;7975   :ff55   ;7976   :ff55   ;7977   :ff55   ;7978   :ff55   ;7979   :ff76   ;7980   :ffb6   ;7981   :ffd7   ;7982   :ffb6   ;7983   :ff96   ;7984   :ff76   ;7985   :ff55   ;7986   :ff55   ;7987   :ff55   ;7988   :ff55   ;7989   :ff55   ;7990   :ff55   ;7991   :ff55   ;7992   :ff34   ;7993   :ff54   ;7994   :ff54   ;7995   :ff55   ;7996   :eed1   ;7997   :bcc7   ;7998   :d568   ;7999   :1060   ;8000   :820    ;8001   :8b20   ;8002   :7ac0   ;8003   :b444   ;8004   :c4c6   ;8005   :cce6   ;8006   :cce6   ;8007   :cd07   ;8008   :cd27   ;8009   :cd27   ;8010   :d548   ;8011   :d568   ;8012   :d568   ;8013   :dd89   ;8014   :dda9   ;8015   :dda9   ;8016   :ddca   ;8017   :e60a   ;8018   :a468   ;8019   :20e2   ;8020   :3182   ;8021   :41e3   ;8022   :4a04   ;8023   :4a24   ;8024   :41c4   ;8025   :10a2   ;8026   :861    ;8027   :39a4   ;8028   :5a86   ;8029   :5a86   ;8030   :5aa6   ;8031   :62c7   ;8032   :5a87   ;8033   :7b88   ;8034   :ee8d   ;8035   :feed   ;8036   :f6ed   ;8037   :feee   ;8038   :f6ee   ;8039   :ff4f   ;8040   :738d   ;8041   :738f   ;8042   :8c6f   ;8043   :e690   ;8044   :f6f0   ;8045   :eeb1   ;8046   :eeb2   ;8047   :eed3   ;8048   :f712   ;8049   :ff32   ;8050   :ff32   ;8051   :ff32   ;8052   :ff33   ;8053   :ff33   ;8054   :ff33   ;8055   :ff54   ;8056   :ff54   ;8057   :ff54   ;8058   :ff55   ;8059   :ff54   ;8060   :ff55   ;8061   :ff54   ;8062   :ff55   ;8063   :ff55   ;8064   :ff55   ;8065   :83ec   ;8066   :2104   ;8067   :2945   ;8068   :39e7   ;8069   :eef4   ;8070   :83ec   ;8071   :4208   ;8072   :4a6a   ;8073   :b551   ;8074   :ffb6   ;8075   :ff55   ;8076   :ff55   ;8077   :ff55   ;8078   :ff55   ;8079   :ff55   ;8080   :ff55   ;8081   :ff55   ;8082   :ff55   ;8083   :ff55   ;8084   :ff55   ;8085   :ff55   ;8086   :ff55   ;8087   :ff55   ;8088   :ff55   ;8089   :ff55   ;8090   :ff55   ;8091   :ff55   ;8092   :ff54   ;8093   :ff54   ;8094   :ff54   ;8095   :ff55   ;8096   :f6d2   ;8097   :c507   ;8098   :d568   ;8099   :860    ;8100   :840    ;8101   :8b00   ;8102   :7aa0   ;8103   :b444   ;8104   :c4c5   ;8105   :c4c6   ;8106   :c4e6   ;8107   :cce6   ;8108   :cd07   ;8109   :cd27   ;8110   :cd27   ;8111   :d548   ;8112   :d548   ;8113   :d588   ;8114   :d589   ;8115   :dd89   ;8116   :dda9   ;8117   :ee2a   ;8118   :7305   ;8119   :0  ;8120   :0  ;8121   :0  ;8122   :0  ;8123   :0  ;8124   :21 ;8125   :862    ;8126   :1082   ;8127   :862    ;8128   :862    ;8129   :883    ;8130   :10a3   ;8131   :18c4   ;8132   :18c4   ;8133   :7348   ;8134   :ff0e   ;8135   :f6ce   ;8136   :feee   ;8137   :feed   ;8138   :feee   ;8139   :f6ce   ;8140   :738d   ;8141   :738e   ;8142   :948f   ;8143   :eeb0   ;8144   :ff10   ;8145   :ff30   ;8146   :ff10   ;8147   :ff31   ;8148   :ff11   ;8149   :ff31   ;8150   :ff11   ;8151   :ff32   ;8152   :ff32   ;8153   :ff33   ;8154   :ff33   ;8155   :ff33   ;8156   :ff54   ;8157   :ff54   ;8158   :ff54   ;8159   :ff55   ;8160   :ff55   ;8161   :ff55   ;8162   :ff55   ;8163   :ff96   ;8164   :d612   ;8165   :18e3   ;8166   :2124   ;8167   :20e4   ;8168   :946d   ;8169   :fff7   ;8170   :a4cf   ;8171   :4208   ;8172   :4a8a   ;8173   :738c   ;8174   :ff55   ;8175   :ff75   ;8176   :ff55   ;8177   :ff55   ;8178   :ff55   ;8179   :ff55   ;8180   :ff55   ;8181   :ff55   ;8182   :ff55   ;8183   :ff55   ;8184   :ff55   ;8185   :ff55   ;8186   :ff55   ;8187   :ff55   ;8188   :ff55   ;8189   :ff55   ;8190   :ff55   ;8191   :ff55   ;8192   :ff55   ;8193   :ff55   ;8194   :ff54   ;8195   :ff55   ;8196   :f6d2   ;8197   :c528   ;8198   :dda9   ;8199   :1060   ;8200   :820    ;8201   :8b00   ;8202   :7aa0   ;8203   :b423   ;8204   :c4a5   ;8205   :c4a5   ;8206   :c4c5   ;8207   :c4c6   ;8208   :cce6   ;8209   :cd07   ;8210   :cd07   ;8211   :cd27   ;8212   :d548   ;8213   :d568   ;8214   :d588   ;8215   :d588   ;8216   :dda9   ;8217   :ee0a   ;8218   :5a64   ;8219   :1061   ;8220   :18a1   ;8221   :20c2   ;8222   :2923   ;8223   :2943   ;8224   :20e2   ;8225   :861    ;8226   :862    ;8227   :3184   ;8228   :39a4   ;8229   :39a5   ;8230   :39e5   ;8231   :39c5   ;8232   :31a6   ;8233   :83a9   ;8234   :ff0e   ;8235   :fecd   ;8236   :f6ce   ;8237   :f6ed   ;8238   :feed   ;8239   :e66d   ;8240   :738d   ;8241   :738f   ;8242   :9cd0   ;8243   :f6cf   ;8244   :ff0f   ;8245   :fef0   ;8246   :ff10   ;8247   :ff10   ;8248   :ff11   ;8249   :ff11   ;8250   :ff31   ;8251   :f732   ;8252   :ff32   ;8253   :ff32   ;8254   :ff33   ;8255   :ff33   ;8256   :ff33   ;8257   :ff54   ;8258   :ff54   ;8259   :ff54   ;8260   :ff54   ;8261   :ff54   ;8262   :ff75   ;8263   :f714   ;8264   :2945   ;8265   :18e3   ;8266   :2125   ;8267   :3185   ;8268   :ffb6   ;8269   :ff95   ;8270   :cdf2   ;8271   :52aa   ;8272   :4a8a   ;8273   :5acb   ;8274   :ad10   ;8275   :ff96   ;8276   :ff56   ;8277   :ff55   ;8278   :ff55   ;8279   :ff55   ;8280   :ff55   ;8281   :ff55   ;8282   :ff55   ;8283   :ff55   ;8284   :ff55   ;8285   :ff55   ;8286   :ff55   ;8287   :ff55   ;8288   :ff55   ;8289   :ff55   ;8290   :ff55   ;8291   :ff55   ;8292   :ff55   ;8293   :ff55   ;8294   :ff55   ;8295   :ff55   ;8296   :f6d2   ;8297   :cd48   ;8298   :ddc9   ;8299   :1060   ;8300   :820    ;8301   :8ae0   ;8302   :7a80   ;8303   :ac03   ;8304   :bca5   ;8305   :c4a5   ;8306   :c4a5   ;8307   :c4c6   ;8308   :cce6   ;8309   :cce6   ;8310   :cd06   ;8311   :cd07   ;8312   :cd27   ;8313   :d548   ;8314   :d568   ;8315   :d588   ;8316   :dd88   ;8317   :dda9   ;8318   :c508   ;8319   :c508   ;8320   :c529   ;8321   :ddea   ;8322   :fe8b   ;8323   :feeb   ;8324   :93e7   ;8325   :1  ;8326   :21 ;8327   :ff2d   ;8328   :feed   ;8329   :feed   ;8330   :ff2d   ;8331   :ee6c   ;8332   :ddec   ;8333   :de2c   ;8334   :f6cd   ;8335   :f6cd   ;8336   :f6cd   ;8337   :fece   ;8338   :ff0d   ;8339   :d5ed   ;8340   :6b6d   ;8341   :738f   ;8342   :a4ef   ;8343   :feef   ;8344   :ff0f   ;8345   :ff0f   ;8346   :ff10   ;8347   :ff10   ;8348   :ff11   ;8349   :ff11   ;8350   :ff11   ;8351   :ff11   ;8352   :ff12   ;8353   :ff32   ;8354   :ff32   ;8355   :ff33   ;8356   :ff33   ;8357   :ff33   ;8358   :ff34   ;8359   :ff34   ;8360   :ff54   ;8361   :ff75   ;8362   :f734   ;8363   :5288   ;8364   :1082   ;8365   :2104   ;8366   :2124   ;8367   :b550   ;8368   :ff96   ;8369   :ff55   ;8370   :ff55   ;8371   :7b8c   ;8372   :4a6a   ;8373   :5acb   ;8374   :6b6d   ;8375   :d633   ;8376   :ff75   ;8377   :ff55   ;8378   :ff55   ;8379   :ff55   ;8380   :ff55   ;8381   :ff55   ;8382   :ff55   ;8383   :ff55   ;8384   :ff55   ;8385   :ff55   ;8386   :ff55   ;8387   :ff55   ;8388   :ff55   ;8389   :ff55   ;8390   :ff55   ;8391   :ff55   ;8392   :ff55   ;8393   :ff55   ;8394   :ff55   ;8395   :ff55   ;8396   :f6f2   ;8397   :cd69   ;8398   :e5ea   ;8399   :1060   ;8400   :820    ;8401   :8ae0   ;8402   :7280   ;8403   :abe3   ;8404   :bc84   ;8405   :bc85   ;8406   :c4a5   ;8407   :c4a5   ;8408   :c4c6   ;8409   :c4c6   ;8410   :cce6   ;8411   :cd07   ;8412   :cd07   ;8413   :cd47   ;8414   :d548   ;8415   :d568   ;8416   :d568   ;8417   :dd89   ;8418   :ddc9   ;8419   :ddc9   ;8420   :e5ea   ;8421   :e5ea   ;8422   :e5ea   ;8423   :e60a   ;8424   :6ae6   ;8425   :1  ;8426   :20e3   ;8427   :f6ac   ;8428   :ee4c   ;8429   :ee6c   ;8430   :f68d   ;8431   :fecd   ;8432   :ff0d   ;8433   :fecd   ;8434   :f6ad   ;8435   :f6ad   ;8436   :f6cd   ;8437   :f6cd   ;8438   :ff0e   ;8439   :c5ad   ;8440   :6b4d   ;8441   :738f   ;8442   :a50f   ;8443   :ff2e   ;8444   :f6ef   ;8445   :feef   ;8446   :feef   ;8447   :ff10   ;8448   :ff10   ;8449   :ff10   ;8450   :ff11   ;8451   :ff11   ;8452   :ff11   ;8453   :ff32   ;8454   :ff32   ;8455   :ff32   ;8456   :ff32   ;8457   :ff33   ;8458   :ff33   ;8459   :ff34   ;8460   :ff74   ;8461   :f714   ;8462   :6b29   ;8463   :882    ;8464   :18e3   ;8465   :18c3   ;8466   :7bab   ;8467   :ff55   ;8468   :ff55   ;8469   :ff55   ;8470   :ff96   ;8471   :c5b1   ;8472   :528a   ;8473   :5aeb   ;8474   :632c   ;8475   :840f   ;8476   :e6d4   ;8477   :ff75   ;8478   :ff55   ;8479   :ff55   ;8480   :ff55   ;8481   :ff55   ;8482   :ff55   ;8483   :ff55   ;8484   :ff55   ;8485   :ff55   ;8486   :ff55   ;8487   :ff55   ;8488   :ff55   ;8489   :ff55   ;8490   :ff55   ;8491   :ff55   ;8492   :ff55   ;8493   :ff55   ;8494   :ff55   ;8495   :ff55   ;8496   :f6f2   ;8497   :d589   ;8498   :e60a   ;8499   :1060   ;8500   :820    ;8501   :82e0   ;8502   :7a80   ;8503   :abe3   ;8504   :bc64   ;8505   :bc64   ;8506   :bc84   ;8507   :c4a5   ;8508   :c4a5   ;8509   :c4c5   ;8510   :c4e6   ;8511   :cd06   ;8512   :cd07   ;8513   :cd27   ;8514   :cd28   ;8515   :d568   ;8516   :d568   ;8517   :d588   ;8518   :dda9   ;8519   :dda9   ;8520   :ddc9   ;8521   :e5ca   ;8522   :e60a   ;8523   :ddca   ;8524   :5264   ;8525   :1  ;8526   :39a4   ;8527   :feec   ;8528   :feec   ;8529   :f6ac   ;8530   :d5ab   ;8531   :a489   ;8532   :6ae7   ;8533   :8be9   ;8534   :feed   ;8535   :f6ad   ;8536   :f6cd   ;8537   :f6cd   ;8538   :ff0d   ;8539   :b50d   ;8540   :6b4d   ;8541   :73ae   ;8542   :bd6e   ;8543   :ff2e   ;8544   :feee   ;8545   :feee   ;8546   :feef   ;8547   :feef   ;8548   :ff10   ;8549   :ff10   ;8550   :ff10   ;8551   :ff11   ;8552   :ff11   ;8553   :ff11   ;8554   :ff32   ;8555   :ff32   ;8556   :ff33   ;8557   :ff33   ;8558   :ff33   ;8559   :ff94   ;8560   :f713   ;8561   :5aa8   ;8562   :862    ;8563   :18c3   ;8564   :10a2   ;8565   :5267   ;8566   :eed4   ;8567   :ff76   ;8568   :ff55   ;8569   :ff55   ;8570   :ff55   ;8571   :ff96   ;8572   :7bad   ;8573   :52ab   ;8574   :6b4d   ;8575   :6b6d   ;8576   :8c30   ;8577   :f755   ;8578   :ff96   ;8579   :ff55   ;8580   :ff55   ;8581   :ff55   ;8582   :ff55   ;8583   :ff55   ;8584   :ff55   ;8585   :ff55   ;8586   :ff55   ;8587   :ff55   ;8588   :ff55   ;8589   :ff55   ;8590   :ff55   ;8591   :ff55   ;8592   :ff55   ;8593   :ff55   ;8594   :ff55   ;8595   :ff55   ;8596   :f6f3   ;8597   :d5aa   ;8598   :ee2b   ;8599   :1060   ;8600   :820    ;8601   :82e0   ;8602   :7260   ;8603   :a3c2   ;8604   :bc43   ;8605   :bc64   ;8606   :bc64   ;8607   :bc84   ;8608   :bc85   ;8609   :c4a5   ;8610   :c4c6   ;8611   :c4c6   ;8612   :cce6   ;8613   :cd07   ;8614   :cd27   ;8615   :d528   ;8616   :d548   ;8617   :d548   ;8618   :d568   ;8619   :d589   ;8620   :dda9   ;8621   :dda9   ;8622   :ddca   ;8623   :cd69   ;8624   :39a3   ;8625   :21 ;8626   :3163   ;8627   :62c5   ;8628   :3164   ;8629   :18c3   ;8630   :18c3   ;8631   :18e4   ;8632   :2945   ;8633   :a4aa   ;8634   :fecd   ;8635   :f68c   ;8636   :f6ad   ;8637   :f6ad   ;8638   :ff0d   ;8639   :aced   ;8640   :634d   ;8641   :73af   ;8642   :bd8e   ;8643   :ff0d   ;8644   :fece   ;8645   :feee   ;8646   :feef   ;8647   :f70f   ;8648   :f6ef   ;8649   :ff10   ;8650   :ff10   ;8651   :ff11   ;8652   :ff11   ;8653   :ff11   ;8654   :ff11   ;8655   :ff32   ;8656   :ff32   ;8657   :ff53   ;8658   :ff93   ;8659   :d610   ;8660   :18e3   ;8661   :841    ;8662   :10a2   ;8663   :1062   ;8664   :4a47   ;8665   :f715   ;8666   :ff96   ;8667   :ff55   ;8668   :ff55   ;8669   :ff55   ;8670   :ff55   ;8671   :ff75   ;8672   :ff55   ;8673   :5acb   ;8674   :632c   ;8675   :738e   ;8676   :7bcf   ;8677   :8c51   ;8678   :de74   ;8679   :ff75   ;8680   :ff55   ;8681   :ff55   ;8682   :ff55   ;8683   :ff55   ;8684   :ff55   ;8685   :ff55   ;8686   :ff55   ;8687   :ff55   ;8688   :ff55   ;8689   :ff55   ;8690   :ff55   ;8691   :ff55   ;8692   :ff55   ;8693   :ff55   ;8694   :ff55   ;8695   :ff55   ;8696   :f6f2   ;8697   :ddca   ;8698   :ee4b   ;8699   :1060   ;8700   :820    ;8701   :82c0   ;8702   :7260   ;8703   :a3c2   ;8704   :b423   ;8705   :b443   ;8706   :bc44   ;8707   :bc64   ;8708   :bc65   ;8709   :bca5   ;8710   :c4a5   ;8711   :c4c6   ;8712   :c4e6   ;8713   :cce6   ;8714   :cd06   ;8715   :d567   ;8716   :7b04   ;8717   :1081   ;8718   :1080   ;8719   :1081   ;8720   :10a1   ;8721   :1081   ;8722   :0  ;8723   :0  ;8724   :841    ;8725   :1082   ;8726   :862    ;8727   :862    ;8728   :1083   ;8729   :10a3   ;8730   :10c3   ;8731   :18c4   ;8732   :39a6   ;8733   :e62c   ;8734   :f6ac   ;8735   :f68d   ;8736   :f68d   ;8737   :f6ad   ;8738   :ff0d   ;8739   :8c2c   ;8740   :630d   ;8741   :7bcf   ;8742   :d5ee   ;8743   :ff0d   ;8744   :f6ed   ;8745   :feee   ;8746   :f6ef   ;8747   :f6ef   ;8748   :feef   ;8749   :ff0f   ;8750   :ff10   ;8751   :f710   ;8752   :ff10   ;8753   :ff11   ;8754   :ff11   ;8755   :ff72   ;8756   :ff52   ;8757   :e670   ;8758   :8c0b   ;8759   :0  ;8760   :861    ;8761   :1082   ;8762   :841    ;8763   :4226   ;8764   :ff55   ;8765   :ff75   ;8766   :ff54   ;8767   :ff54   ;8768   :ff55   ;8769   :ff55   ;8770   :ff55   ;8771   :ff55   ;8772   :ff96   ;8773   :de73   ;8774   :6b4c   ;8775   :6b8e   ;8776   :7bef   ;8777   :8c51   ;8778   :94b2   ;8779   :bdd4   ;8780   :eef5   ;8781   :ff55   ;8782   :ff75   ;8783   :ff55   ;8784   :ff55   ;8785   :ff55   ;8786   :ff55   ;8787   :ff55   ;8788   :ff55   ;8789   :ff55   ;8790   :ff55   ;8791   :ff55   ;8792   :ff55   ;8793   :ff55   ;8794   :ff55   ;8795   :ff55   ;8796   :f713   ;8797   :ddea   ;8798   :f66c   ;8799   :1060   ;8800   :820    ;8801   :82c0   ;8802   :7260   ;8803   :a3a2   ;8804   :b403   ;8805   :b423   ;8806   :b443   ;8807   :bc44   ;8808   :bc64   ;8809   :bc84   ;8810   :c4a5   ;8811   :c4a5   ;8812   :c4c6   ;8813   :c4e6   ;8814   :cd06   ;8815   :d547   ;8816   :8b65   ;8817   :20 ;8818   :0  ;8819   :0  ;8820   :0  ;8821   :0  ;8822   :0  ;8823   :1  ;8824   :1  ;8825   :41 ;8826   :10a2   ;8827   :2103   ;8828   :39c4   ;8829   :4a25   ;8830   :5aa6   ;8831   :5aa7   ;8832   :9c49   ;8833   :f6ac   ;8834   :f68c   ;8835   :fecd   ;8836   :feed   ;8837   :feed   ;8838   :ee8d   ;8839   :83ec   ;8840   :632d   ;8841   :7bee   ;8842   :de2e   ;8843   :feed   ;8844   :feed   ;8845   :fece   ;8846   :feee   ;8847   :feee   ;8848   :feef   ;8849   :f70f   ;8850   :ff10   ;8851   :fef0   ;8852   :ff10   ;8853   :ff31   ;8854   :ffb2   ;8855   :de2f   ;8856   :83ca   ;8857   :2943   ;8858   :0  ;8859   :861    ;8860   :861    ;8861   :841    ;8862   :5aa7   ;8863   :eef3   ;8864   :ff75   ;8865   :ff54   ;8866   :ff54   ;8867   :ff55   ;8868   :ff55   ;8869   :ff55   ;8870   :ff55   ;8871   :ff55   ;8872   :ff55   ;8873   :ff75   ;8874   :c5d2   ;8875   :73ae   ;8876   :7bcf   ;8877   :8c51   ;8878   :94b2   ;8879   :9cf4   ;8880   :b596   ;8881   :d677   ;8882   :ef17   ;8883   :ff56   ;8884   :ff55   ;8885   :ff55   ;8886   :ff55   ;8887   :ff55   ;8888   :ff55   ;8889   :ff55   ;8890   :ff55   ;8891   :ff55   ;8892   :ff55   ;8893   :ff55   ;8894   :ff55   ;8895   :ff55   ;8896   :f714   ;8897   :e62b   ;8898   :f68c   ;8899   :1060   ;8900   :820    ;8901   :82c0   ;8902   :7260   ;8903   :a3c2   ;8904   :b403   ;8905   :b403   ;8906   :b423   ;8907   :b423   ;8908   :bc44   ;8909   :bc64   ;8910   :bc85   ;8911   :c4a5   ;8912   :c4a5   ;8913   :c4c6   ;8914   :ccc6   ;8915   :cd27   ;8916   :ac46   ;8917   :20 ;8918   :0  ;8919   :0  ;8920   :18e1   ;8921   :3162   ;8922   :5a64   ;8923   :7305   ;8924   :93e7   ;8925   :a447   ;8926   :aca8   ;8927   :bce8   ;8928   :cd49   ;8929   :d58a   ;8930   :e5eb   ;8931   :de0a   ;8932   :f68c   ;8933   :ee4c   ;8934   :9409   ;8935   :7349   ;8936   :9c6a   ;8937   :9c8b   ;8938   :83eb   ;8939   :630c   ;8940   :634d   ;8941   :83ee   ;8942   :e66e   ;8943   :fece   ;8944   :f6cd   ;8945   :feed   ;8946   :feee   ;8947   :f6ee   ;8948   :feee   ;8949   :feef   ;8950   :ff0f   ;8951   :ff50   ;8952   :ff71   ;8953   :cdad   ;8954   :62c7   ;8955   :2923   ;8956   :0  ;8957   :20 ;8958   :861    ;8959   :21 ;8960   :1082   ;8961   :8c0b   ;8962   :f713   ;8963   :ff74   ;8964   :ff34   ;8965   :ff34   ;8966   :ff34   ;8967   :ff54   ;8968   :ff55   ;8969   :ff55   ;8970   :ff55   ;8971   :ff55   ;8972   :ff55   ;8973   :ff75   ;8974   :ff75   ;8975   :d653   ;8976   :840f   ;8977   :8431   ;8978   :94b2   ;8979   :a514   ;8980   :b576   ;8981   :bdf8   ;8982   :d699   ;8983   :e73b   ;8984   :f79b   ;8985   :ff56   ;8986   :ff55   ;8987   :ff55   ;8988   :ff55   ;8989   :ff55   ;8990   :ff55   ;8991   :ff55   ;8992   :ff55   ;8993   :ff55   ;8994   :ff55   ;8995   :ff56   ;8996   :f713   ;8997   :e64b   ;8998   :f6cd   ;8999   :1080   ;9000   :820    ;9001   :82c0   ;9002   :7260   ;9003   :9b82   ;9004   :b403   ;9005   :b403   ;9006   :b403   ;9007   :b423   ;9008   :b443   ;9009   :bc44   ;9010   :bc64   ;9011   :bc85   ;9012   :c4a5   ;9013   :c4a5   ;9014   :c4c6   ;9015   :cce6   ;9016   :c4e6   ;9017   :6aa4   ;9018   :93c5   ;9019   :c507   ;9020   :cd08   ;9021   :cd48   ;9022   :dd68   ;9023   :dda9   ;9024   :e5c9   ;9025   :e5ea   ;9026   :e60a   ;9027   :e60a   ;9028   :e60a   ;9029   :e60b   ;9030   :e62b   ;9031   :ee2b   ;9032   :e62b   ;9033   :f68c   ;9034   :9c69   ;9035   :39c7   ;9036   :4229   ;9037   :4a69   ;9038   :52ab   ;9039   :630b   ;9040   :632d   ;9041   :7bef   ;9042   :f6cd   ;9043   :f6ed   ;9044   :fecd   ;9045   :f6ed   ;9046   :fece   ;9047   :f6ed   ;9048   :feee   ;9049   :feee   ;9050   :ff0f   ;9051   :acca   ;9052   :841    ;9053   :40 ;9054   :0  ;9055   :0  ;9056   :21 ;9057   :21 ;9058   :0  ;9059   :18c3   ;9060   :c5cf   ;9061   :ff73   ;9062   :ff53   ;9063   :ff33   ;9064   :ff33   ;9065   :ff54   ;9066   :ff34   ;9067   :ff34   ;9068   :ff55   ;9069   :ff54   ;9070   :ff55   ;9071   :ff55   ;9072   :ff55   ;9073   :ff54   ;9074   :ff55   ;9075   :ff56   ;9076   :f735   ;9077   :9491   ;9078   :8c72   ;9079   :a514   ;9080   :b595   ;9081   :c618   ;9082   :d69a   ;9083   :e71c   ;9084   :f757   ;9085   :ff55   ;9086   :ff55   ;9087   :ff55   ;9088   :ff55   ;9089   :ff55   ;9090   :ff55   ;9091   :ff55   ;9092   :ff55   ;9093   :ff55   ;9094   :ff55   ;9095   :ff56   ;9096   :f712   ;9097   :ee6c   ;9098   :feed   ;9099   :1081   ;9100   :820    ;9101   :82c0   ;9102   :7a80   ;9103   :8b00   ;9104   :b403   ;9105   :b403   ;9106   :b403   ;9107   :b403   ;9108   :b423   ;9109   :b423   ;9110   :bc44   ;9111   :bc64   ;9112   :bc84   ;9113   :c4a5   ;9114   :c4a5   ;9115   :c4c5   ;9116   :cce6   ;9117   :d526   ;9118   :d527   ;9119   :d527   ;9120   :d547   ;9121   :d568   ;9122   :d568   ;9123   :d588   ;9124   :dd89   ;9125   :dda9   ;9126   :dda9   ;9127   :ddc9   ;9128   :ddc9   ;9129   :e5ea   ;9130   :e5ea   ;9131   :e62b   ;9132   :ee2b   ;9133   :ee4b   ;9134   :ee4c   ;9135   :5288   ;9136   :39e8   ;9137   :4a49   ;9138   :528a   ;9139   :630c   ;9140   :6b6d   ;9141   :cdad   ;9142   :feed   ;9143   :f6cd   ;9144   :f6ce   ;9145   :fecd   ;9146   :fecd   ;9147   :fece   ;9148   :fece   ;9149   :feee   ;9150   :ff0f   ;9151   :f6ce   ;9152   :7b67   ;9153   :0  ;9154   :0  ;9155   :0  ;9156   :0  ;9157   :18c1   ;9158   :7b89   ;9159   :fff4   ;9160   :ff72   ;9161   :ff32   ;9162   :ff33   ;9163   :ff33   ;9164   :ff33   ;9165   :ff33   ;9166   :ff54   ;9167   :ff54   ;9168   :ff34   ;9169   :ff54   ;9170   :ff55   ;9171   :ff55   ;9172   :ff55   ;9173   :ff55   ;9174   :ff55   ;9175   :ff55   ;9176   :ff75   ;9177   :ff95   ;9178   :d634   ;9179   :ad54   ;9180   :b596   ;9181   :bdf8   ;9182   :deb9   ;9183   :f755   ;9184   :ff55   ;9185   :ff55   ;9186   :ff55   ;9187   :ff55   ;9188   :ff55   ;9189   :ff55   ;9190   :ff55   ;9191   :ff55   ;9192   :ff55   ;9193   :ff55   ;9194   :ff55   ;9195   :ff55   ;9196   :f710   ;9197   :ee8d   ;9198   :ff2e   ;9199   :1081   ;9200   :820    ;9201   :82c0   ;9202   :7aa0   ;9203   :7280   ;9204   :abc2   ;9205   :b403   ;9206   :b403   ;9207   :b403   ;9208   :b403   ;9209   :b423   ;9210   :b423   ;9211   :bc44   ;9212   :bc64   ;9213   :bc85   ;9214   :bca5   ;9215   :c4a5   ;9216   :c4c6   ;9217   :c4c6   ;9218   :cce6   ;9219   :cd07   ;9220   :cd07   ;9221   :cd27   ;9222   :d548   ;9223   :d568   ;9224   :d568   ;9225   :dd88   ;9226   :dda9   ;9227   :dda9   ;9228   :ddc9   ;9229   :ddca   ;9230   :e5ea   ;9231   :e60a   ;9232   :e60b   ;9233   :e62b   ;9234   :f68c   ;9235   :7369   ;9236   :62e9   ;9237   :7b8a   ;9238   :8c2b   ;9239   :acec   ;9240   :de0d   ;9241   :fecc   ;9242   :f6ad   ;9243   :f6ad   ;9244   :f6ad   ;9245   :f6cd   ;9246   :fece   ;9247   :fece   ;9248   :feee   ;9249   :feee   ;9250   :feee   ;9251   :ff0f   ;9252   :ff70   ;9253   :acaa   ;9254   :1061   ;9255   :18e2   ;9256   :6b07   ;9257   :d60e   ;9258   :ff72   ;9259   :ff11   ;9260   :ff31   ;9261   :ff32   ;9262   :ff32   ;9263   :ff32   ;9264   :ff33   ;9265   :ff33   ;9266   :ff33   ;9267   :ff33   ;9268   :ff54   ;9269   :ff54   ;9270   :ff54   ;9271   :ff55   ;9272   :ff55   ;9273   :ff55   ;9274   :ff55   ;9275   :ff55   ;9276   :ff55   ;9277   :ff55   ;9278   :ff75   ;9279   :f715   ;9280   :d656   ;9281   :ce57   ;9282   :f755   ;9283   :ff55   ;9284   :ff55   ;9285   :ff55   ;9286   :ff55   ;9287   :ff55   ;9288   :ff55   ;9289   :ff55   ;9290   :ff55   ;9291   :ff55   ;9292   :ff55   ;9293   :ff55   ;9294   :ff55   ;9295   :ff54   ;9296   :f6ee   ;9297   :f6ce   ;9298   :f6ee   ;9299   :1081   ;9300   :20 ;9301   :6a20   ;9302   :7aa0   ;9303   :7a80   ;9304   :82e0   ;9305   :b423   ;9306   :b403   ;9307   :b403   ;9308   :b403   ;9309   :b403   ;9310   :b423   ;9311   :b444   ;9312   :bc44   ;9313   :bc64   ;9314   :bc84   ;9315   :bca5   ;9316   :c4a5   ;9317   :c4c6   ;9318   :c4e6   ;9319   :cd06   ;9320   :cd07   ;9321   :cd07   ;9322   :cd27   ;9323   :d548   ;9324   :d568   ;9325   :d588   ;9326   :d589   ;9327   :dda9   ;9328   :ddc9   ;9329   :ddca   ;9330   :ddca   ;9331   :e5ea   ;9332   :e60a   ;9333   :e60b   ;9334   :ee2b   ;9335   :d5cb   ;9336   :d5cb   ;9337   :e62b   ;9338   :f6ac   ;9339   :feac   ;9340   :f68c   ;9341   :ee8d   ;9342   :f68d   ;9343   :f6ad   ;9344   :f6ad   ;9345   :f6cd   ;9346   :f6cd   ;9347   :fecd   ;9348   :f6cd   ;9349   :feee   ;9350   :feee   ;9351   :feee   ;9352   :feef   ;9353   :ff90   ;9354   :bd2b   ;9355   :c58c   ;9356   :ff50   ;9357   :ff31   ;9358   :ff10   ;9359   :ff11   ;9360   :ff11   ;9361   :ff31   ;9362   :ff11   ;9363   :ff32   ;9364   :ff33   ;9365   :ff33   ;9366   :ff33   ;9367   :ff53   ;9368   :ff34   ;9369   :ff54   ;9370   :ff54   ;9371   :ff54   ;9372   :ff55   ;9373   :ff55   ;9374   :ff55   ;9375   :ff55   ;9376   :ff55   ;9377   :ff55   ;9378   :ff55   ;9379   :ff55   ;9380   :ff75   ;9381   :ff55   ;9382   :ff55   ;9383   :ff55   ;9384   :ff55   ;9385   :ff55   ;9386   :ff55   ;9387   :ff55   ;9388   :ff55   ;9389   :ff55   ;9390   :ff55   ;9391   :ff55   ;9392   :ff55   ;9393   :ff55   ;9394   :ff56   ;9395   :ff30   ;9396   :f70e   ;9397   :ff0f   ;9398   :c5ab   ;9399   :840    ;9400   :0  ;9401   :4160   ;9402   :82c0   ;9403   :7aa0   ;9404   :7280   ;9405   :9320   ;9406   :b423   ;9407   :b423   ;9408   :b403   ;9409   :b403   ;9410   :b403   ;9411   :b423   ;9412   :b443   ;9413   :bc44   ;9414   :bc64   ;9415   :bc85   ;9416   :c4a5   ;9417   :c4a5   ;9418   :c4c5   ;9419   :cce6   ;9420   :cce6   ;9421   :cd06   ;9422   :cd07   ;9423   :cd27   ;9424   :d548   ;9425   :d568   ;9426   :d588   ;9427   :dd88   ;9428   :dda9   ;9429   :dda9   ;9430   :ddca   ;9431   :ddca   ;9432   :e5ea   ;9433   :e60a   ;9434   :e60b   ;9435   :ee2b   ;9436   :ee4b   ;9437   :ee4c   ;9438   :ee4c   ;9439   :ee4c   ;9440   :ee6c   ;9441   :ee8c   ;9442   :f68d   ;9443   :f68d   ;9444   :f6ad   ;9445   :f6ad   ;9446   :f6ad   ;9447   :f6cd   ;9448   :f6cd   ;9449   :fece   ;9450   :feee   ;9451   :feee   ;9452   :feee   ;9453   :feee   ;9454   :ff2f   ;9455   :ff2f   ;9456   :ff0f   ;9457   :fef0   ;9458   :ff10   ;9459   :ff11   ;9460   :ff11   ;9461   :ff11   ;9462   :ff11   ;9463   :ff32   ;9464   :ff32   ;9465   :ff33   ;9466   :f733   ;9467   :ff33   ;9468   :ff53   ;9469   :ff34   ;9470   :ff54   ;9471   :ff54   ;9472   :ff54   ;9473   :ff54   ;9474   :ff55   ;9475   :ff55   ;9476   :ff55   ;9477   :ff55   ;9478   :ff55   ;9479   :ff55   ;9480   :ff55   ;9481   :ff55   ;9482   :ff55   ;9483   :ff55   ;9484   :ff55   ;9485   :ff55   ;9486   :ff55   ;9487   :ff55   ;9488   :ff55   ;9489   :ff55   ;9490   :ff55   ;9491   :ff55   ;9492   :ff56   ;9493   :ff56   ;9494   :ff51   ;9495   :ff2f   ;9496   :ff2f   ;9497   :ffb0   ;9498   :7b87   ;9499   :0  ;9500   :20 ;9501   :1060   ;9502   :6220   ;9503   :7ac0   ;9504   :7a80   ;9505   :7a80   ;9506   :82c0   ;9507   :abc2   ;9508   :b403   ;9509   :b423   ;9510   :b403   ;9511   :b423   ;9512   :b423   ;9513   :bc43   ;9514   :bc64   ;9515   :bc64   ;9516   :c485   ;9517   :c4a5   ;9518   :c4c5   ;9519   :c4c5   ;9520   :cce6   ;9521   :cce6   ;9522   :cd07   ;9523   :cd27   ;9524   :d547   ;9525   :d548   ;9526   :d568   ;9527   :d568   ;9528   :dd89   ;9529   :dd89   ;9530   :dda9   ;9531   :ddc9   ;9532   :ddea   ;9533   :ddea   ;9534   :e5ea   ;9535   :e60b   ;9536   :e62b   ;9537   :ee4b   ;9538   :ee4b   ;9539   :ee6c   ;9540   :ee6c   ;9541   :ee6c   ;9542   :f68c   ;9543   :f6ac   ;9544   :f6ad   ;9545   :f6ad   ;9546   :f6cd   ;9547   :f6cd   ;9548   :f6cd   ;9549   :feee   ;9550   :feee   ;9551   :feee   ;9552   :feee   ;9553   :feee   ;9554   :feee   ;9555   :feef   ;9556   :ff0f   ;9557   :feef   ;9558   :ff10   ;9559   :ff10   ;9560   :ff11   ;9561   :ff11   ;9562   :ff31   ;9563   :ff52   ;9564   :ff32   ;9565   :ff53   ;9566   :ff53   ;9567   :ff53   ;9568   :ff53   ;9569   :ff54   ;9570   :ff54   ;9571   :ff54   ;9572   :ff55   ;9573   :ff75   ;9574   :ff55   ;9575   :ff75   ;9576   :ff75   ;9577   :ff55   ;9578   :ff55   ;9579   :ff55   ;9580   :ff56   ;9581   :ff56   ;9582   :ff56   ;9583   :ff55   ;9584   :ff55   ;9585   :ff55   ;9586   :ff55   ;9587   :ff56   ;9588   :ff55   ;9589   :ff55   ;9590   :ff55   ;9591   :ff56   ;9592   :ff54   ;9593   :ff30   ;9594   :ff4e   ;9595   :ff2f   ;9596   :ff90   ;9597   :cdec   ;9598   :20e2   ;9599   :0  ;9600   :0  ;9601   :0  ;9602   :20c0   ;9603   :7260   ;9604   :82a0   ;9605   :7a80   ;9606   :7a80   ;9607   :7280   ;9608   :8b00   ;9609   :9b81   ;9610   :a3c2   ;9611   :a3c2   ;9612   :a3a2   ;9613   :abc2   ;9614   :abe3   ;9615   :ac03   ;9616   :b403   ;9617   :b424   ;9618   :b444   ;9619   :bc65   ;9620   :bc85   ;9621   :bca5   ;9622   :c4c6   ;9623   :c4e7   ;9624   :cd07   ;9625   :cd28   ;9626   :d568   ;9627   :d589   ;9628   :ddca   ;9629   :e5ea   ;9630   :ddca   ;9631   :e5ea   ;9632   :e5ea   ;9633   :ddea   ;9634   :e5ea   ;9635   :ddea   ;9636   :e5ea   ;9637   :e60b   ;9638   :e60b   ;9639   :e60b   ;9640   :e60b   ;9641   :e60a   ;9642   :e60b   ;9643   :e62b   ;9644   :e62c   ;9645   :e62c   ;9646   :ee4c   ;9647   :ee4c   ;9648   :ee4c   ;9649   :ee6c   ;9650   :ee6c   ;9651   :ee8c   ;9652   :ee8d   ;9653   :f6ad   ;9654   :f6ce   ;9655   :f6ee   ;9656   :feef   ;9657   :f6ef   ;9658   :f70f   ;9659   :f6ef   ;9660   :f6cf   ;9661   :f6af   ;9662   :e64d   ;9663   :e62d   ;9664   :e64d   ;9665   :e66e   ;9666   :e66e   ;9667   :ee6e   ;9668   :ee8f   ;9669   :ee8f   ;9670   :eeaf   ;9671   :eed0   ;9672   :eed0   ;9673   :f6d1   ;9674   :f6f1   ;9675   :f6f1   ;9676   :f6f2   ;9677   :f712   ;9678   :ff12   ;9679   :ff32   ;9680   :ff32   ;9681   :ff52   ;9682   :ff33   ;9683   :ff53   ;9684   :ff53   ;9685   :ff33   ;9686   :ff53   ;9687   :ff53   ;9688   :ff54   ;9689   :ff54   ;9690   :ff52   ;9691   :ff51   ;9692   :ff2e   ;9693   :ff2e   ;9694   :ff2f   ;9695   :ff90   ;9696   :eeae   ;9697   :41e4   ;9698   :0  ;9699   :0  ;9700   :0  ;9701   :0  ;9702   :0  ;9703   :20c0   ;9704   :6200   ;9705   :82c0   ;9706   :7aa0   ;9707   :7aa0   ;9708   :7280   ;9709   :7280   ;9710   :7260   ;9711   :7280   ;9712   :7280   ;9713   :7a80   ;9714   :7aa0   ;9715   :7ac0   ;9716   :7ac0   ;9717   :82e0   ;9718   :8b21   ;9719   :8b41   ;9720   :9363   ;9721   :9ba3   ;9722   :a404   ;9723   :ac46   ;9724   :b4a7   ;9725   :c508   ;9726   :cd6a   ;9727   :de0c   ;9728   :ee8e   ;9729   :feef   ;9730   :f6ef   ;9731   :f6ae   ;9732   :ee6d   ;9733   :e64c   ;9734   :e60c   ;9735   :ddeb   ;9736   :d5aa   ;9737   :d569   ;9738   :cd49   ;9739   :c508   ;9740   :c4c8   ;9741   :bca7   ;9742   :bca7   ;9743   :bca6   ;9744   :bca7   ;9745   :bca7   ;9746   :bca6   ;9747   :bca6   ;9748   :bca7   ;9749   :bcc7   ;9750   :c4e7   ;9751   :c508   ;9752   :cd28   ;9753   :d569   ;9754   :ddea   ;9755   :ee8d   ;9756   :f6ee   ;9757   :f6ee   ;9758   :f6ce   ;9759   :eecd   ;9760   :e66c   ;9761   :d5a9   ;9762   :ac23   ;9763   :8ac0   ;9764   :8ae0   ;9765   :9340   ;9766   :9b60   ;9767   :a3a0   ;9768   :abe0   ;9769   :ac20   ;9770   :b461   ;9771   :bc81   ;9772   :c4c2   ;9773   :cd23   ;9774   :cd44   ;9775   :d584   ;9776   :ddc5   ;9777   :e605   ;9778   :ee46   ;9779   :ee87   ;9780   :f6c7   ;9781   :fee8   ;9782   :fee9   ;9783   :feea   ;9784   :f70a   ;9785   :ff0b   ;9786   :ff0b   ;9787   :ff0c   ;9788   :ff2c   ;9789   :ff2d   ;9790   :ff2d   ;9791   :ff2e   ;9792   :ff2e   ;9793   :ff6f   ;9794   :fff0   ;9795   :cdcc   ;9796   :4204   ;9797   :0  ;9798   :0  ;9799   :0  ;9800   :0  ;9801   :0  ;9802   :0  ;9803   :0  ;9804   :860    ;9805   :4160   ;9806   :6a20   ;9807   :82c0   ;9808   :82e0   ;9809   :82e0   ;9810   :82c0   ;9811   :8ae0   ;9812   :8ae0   ;9813   :8ae0   ;9814   :8ae0   ;9815   :8b00   ;9816   :8b20   ;9817   :9340   ;9818   :9360   ;9819   :9b81   ;9820   :a3c2   ;9821   :a3e3   ;9822   :ac44   ;9823   :bc85   ;9824   :c4e6   ;9825   :cd48   ;9826   :d5a9   ;9827   :e62b   ;9828   :f6ad   ;9829   :ff2f   ;9830   :ff90   ;9831   :ff70   ;9832   :ff2f   ;9833   :ff0e   ;9834   :fece   ;9835   :f6ad   ;9836   :f66c   ;9837   :ee4b   ;9838   :e60b   ;9839   :e5ea   ;9840   :dda9   ;9841   :d568   ;9842   :d568   ;9843   :d548   ;9844   :d548   ;9845   :d548   ;9846   :d548   ;9847   :d548   ;9848   :d568   ;9849   :d568   ;9850   :d568   ;9851   :dd89   ;9852   :dda9   ;9853   :e5ca   ;9854   :ee2b   ;9855   :f6ac   ;9856   :ff2e   ;9857   :ff8f   ;9858   :ff8f   ;9859   :ff8f   ;9860   :ff6f   ;9861   :ff2d   ;9862   :ee6a   ;9863   :c4c3   ;9864   :a380   ;9865   :aba0   ;9866   :abe0   ;9867   :b420   ;9868   :bc60   ;9869   :c4a1   ;9870   :c4e2   ;9871   :cd03   ;9872   :d564   ;9873   :dd84   ;9874   :e5c5   ;9875   :ee06   ;9876   :ee46   ;9877   :f687   ;9878   :fec8   ;9879   :ff08   ;9880   :ff49   ;9881   :ff8a   ;9882   :ffaa   ;9883   :ffab   ;9884   :ffab   ;9885   :ffcc   ;9886   :ffcd   ;9887   :ffcd   ;9888   :ffce   ;9889   :ffee   ;9890   :ffcf   ;9891   :fff0   ;9892   :ff8f   ;9893   :ce0c   ;9894   :7ba8   ;9895   :18c2   ;9896   :0  ;9897   :0  ;9898   :0  ;9899   :0  ;9900   :20 ;9901   :0  ;9902   :0  ;9903   :0  ;9904   :0  ;9905   :0  ;9906   :0  ;9907   :820    ;9908   :820    ;9909   :820    ;9910   :820    ;9911   :820    ;9912   :820    ;9913   :820    ;9914   :820    ;9915   :820    ;9916   :820    ;9917   :840    ;9918   :840    ;9919   :840    ;9920   :840    ;9921   :840    ;9922   :840    ;9923   :840    ;9924   :840    ;9925   :861    ;9926   :1060   ;9927   :1081   ;9928   :1060   ;9929   :1081   ;9930   :10a2   ;9931   :1081   ;9932   :1081   ;9933   :1081   ;9934   :1081   ;9935   :1081   ;9936   :1061   ;9937   :1060   ;9938   :1060   ;9939   :1060   ;9940   :1060   ;9941   :1060   ;9942   :860    ;9943   :860    ;9944   :860    ;9945   :1060   ;9946   :860    ;9947   :860    ;9948   :860    ;9949   :860    ;9950   :1060   ;9951   :1060   ;9952   :1060   ;9953   :1060   ;9954   :1060   ;9955   :1060   ;9956   :1081   ;9957   :1081   ;9958   :1081   ;9959   :1081   ;9960   :10a1   ;9961   :1081   ;9962   :1081   ;9963   :1081   ;9964   :840    ;9965   :840    ;9966   :840    ;9967   :840    ;9968   :840    ;9969   :840    ;9970   :860    ;9971   :1080   ;9972   :860    ;9973   :860    ;9974   :1060   ;9975   :1060   ;9976   :1060   ;9977   :1080   ;9978   :10a0   ;9979   :1081   ;9980   :1080   ;9981   :1080   ;9982   :1080   ;9983   :10a1   ;9984   :1081   ;9985   :1081   ;9986   :1080   ;9987   :1081   ;9988   :1081   ;9989   :1081   ;9990   :1081   ;9991   :1081   ;9992   :1081   ;9993   :840    ;9994   :0  ;9995   :0  ;9996   :0  ;9997   :0  ;9998   :0  ;9999   :0  ;END;

模块实现代码如下:

`timescale  1ns/1ns
module  vga_pic
(input   wire            vga_clk     ,   //输入工作时钟,频率25MHzinput   wire            sys_rst_n   ,   //输入复位信号,低电平有效input   wire    [9:0]   pix_x       ,   //输入VGA有效显示区域像素点X轴坐标input   wire    [9:0]   pix_y       ,   //输入VGA有效显示区域像素点Y轴坐标output  wire    [15:0]  pix_data_out    //输出VGA显示图像数据
parameter   H_VALID =   10'd640     ,   //行有效数据V_VALID =   10'd480     ;   //场有效数据parameter   H_PIC   =   10'd100     ,   //图片长度W_PIC   =   10'd100     ,   //图片宽度PIC_SIZE=   14'd10000   ;   //图片像素个数parameter   RED     =   16'hF800    ,   //红色ORANGE  =   16'hFC00    ,   //橙色YELLOW  =   16'hFFE0    ,   //黄色GREEN   =   16'h07E0    ,   //绿色CYAN    =   16'h07FF    ,   //青色BLUE    =   16'h001F    ,   //蓝色PURPPLE =   16'hF81F    ,   //紫色BLACK   =   16'h0000    ,   //黑色WHITE   =   16'hFFFF    ,   //白色GRAY    =   16'hD69A    ;   //灰色//wire  define
wire            rd_en       ;   //ROM读使能
wire    [15:0]  pic_data    ;   //自ROM读出的图片数据//reg   define
reg     [13:0]  rom_addr    ;   //读ROM地址
reg             pic_valid   ;   //图片数据有效信号
reg     [15:0]  pix_data    ;   //背景色彩信息
reg     [9:0]   x_move      ;   //图片横向移动量
reg     [9:0]   y_move      ;   //图片纵向移动量
reg             x_flag      ;   //图片左右移动标志
reg             y_flag      ;   //图片上下移动标志
);
//x_flag:图片左右移动标志
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)x_flag  <=  1'b0;else    if(x_move == 10'd0)x_flag  <=  1'b0;else    if((x_move == (H_VALID - H_PIC - 1'b1))&& (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID - 1'b1)))x_flag  <=  1'b1;//x_move:图片横向移动量
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)x_move   <=  10'd0;else    if((x_flag == 1'b0) && (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID -1'b1)))x_move   <=  x_move + 1'b1;else    if((x_flag == 1'b1) && (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID -1'b1)))x_move   <=  x_move - 1'b1;//y_flag:图片上下移动标志
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)y_flag  <=  1'b0;else    if(y_move == 0)y_flag  <=  1'b0;else    if((y_move == (V_VALID - W_PIC - 1'b1))&& (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID - 1'b1)))y_flag  <=  1'b1;//y_move:图片纵向移动量
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)y_move   <=  10'd0;else    if((y_flag == 1'b0) && (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID -1'b1)))y_move   <=  y_move + 1'b1;else    if((y_flag == 1'b1) && (pix_x == (H_VALID - 1'b1))&& (pix_y == (V_VALID -1'b1)))y_move   <=  y_move - 1'b1;//rd_en:ROM读使能
assign  rd_en = (((pix_x >= (x_move))&& (pix_x < (x_move + H_PIC))) &&((pix_y >= (y_move))&& ((pix_y < (y_move + W_PIC)))));//pic_valid:图片数据有效信号
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)pic_valid   <=  1'b1;elsepic_valid   <=  rd_en;//pix_data_out:输出VGA显示图像数据
assign  pix_data_out = (pic_valid == 1'b1) ? pic_data : pix_data;//根据当前像素点坐标指定当前像素点颜色数据,在屏幕上显示彩条
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)pix_data    <= 16'd0;else    if((pix_x >= 0) && (pix_x < (H_VALID/10)*1))pix_data    <=  RED;else    if((pix_x >= (H_VALID/10)*1) && (pix_x < (H_VALID/10)*2))pix_data    <=  ORANGE;else    if((pix_x >= (H_VALID/10)*2) && (pix_x < (H_VALID/10)*3))pix_data    <=  YELLOW;else    if((pix_x >= (H_VALID/10)*3) && (pix_x < (H_VALID/10)*4))pix_data    <=  GREEN;else    if((pix_x >= (H_VALID/10)*4) && (pix_x < (H_VALID/10)*5))pix_data    <=  CYAN;else    if((pix_x >= (H_VALID/10)*5) && (pix_x < (H_VALID/10)*6))pix_data    <=  BLUE;else    if((pix_x >= (H_VALID/10)*6) && (pix_x < (H_VALID/10)*7))pix_data    <=  PURPPLE;else    if((pix_x >= (H_VALID/10)*7) && (pix_x < (H_VALID/10)*8))pix_data    <=  BLACK;else    if((pix_x >= (H_VALID/10)*8) && (pix_x < (H_VALID/10)*9))pix_data    <=  WHITE;else    if((pix_x >= (H_VALID/10)*9) && (pix_x < H_VALID))pix_data    <=  GRAY;elsepix_data    <=  BLACK;//rom_addr:读ROM地址
always@(posedge vga_clk or negedge sys_rst_n)if(sys_rst_n == 1'b0)rom_addr    <=  14'd0;else    if(rom_addr == (PIC_SIZE - 1'b1))rom_addr    <=  14'd0;else    if(rd_en == 1'b1)rom_addr    <=  rom_addr + 1'b1;
//-------------rom_pic_inst-------------
rom_pic rom_pic_inst
(.address    (rom_addr   ),  //输入读ROM地址,14bit.clock      (vga_clk    ),  //输入读时钟,vga_clk,频率25MHz,1bit.rden       (rd_en      ),  //输入读使能,1bit.q          (pic_data   )   //输出读数据,16bit
);endmodule
`timescale  1ns/1ns
module  vga_rom_pic_jump
(input   wire            sys_clk     ,   //输入工作时钟,频率50MHzinput   wire            sys_rst_n   ,   //输入复位信号,低电平有效output  wire            hsync       ,   //输出行同步信号output  wire            vsync       ,   //输出场同步信号output  wire    [15:0]  rgb             //输出像素信息);//wire define
wire            vga_clk ;   //VGA工作时钟,频率25MHz
wire            locked  ;   //PLL locked信号
wire            rst_n   ;   //VGA模块复位信号
wire    [9:0]   pix_x   ;   //VGA有效显示区域X轴坐标
wire    [9:0]   pix_y   ;   //VGA有效显示区域Y轴坐标
wire    [15:0]  pix_data;   //VGA像素点色彩信息//rst_n:VGA模块复位信号
assign  rst_n = (sys_rst_n & locked);
//------------- clk_gen_inst -------------
clk_gen clk_gen_inst(.areset     (~sys_rst_n ),  //输入复位信号,高电平有效,1bit.inclk0     (sys_clk    ),  //输入50MHz晶振时钟,1bit.c0         (vga_clk    ),  //输出VGA工作时钟,频率25Mhz,1bit.locked     (locked     )   //输出pll locked信号,1bit
);//------------- vga_ctrl_inst -------------
vga_ctrl  vga_ctrl_inst(.vga_clk    (vga_clk    ),  //输入工作时钟,频率25MHz,1bit.sys_rst_n  (rst_n      ),  //输入复位信号,低电平有效,1bit.pix_data   (pix_data   ),  //输入像素点色彩信息,16bit.pix_x      (pix_x      ),  //输出VGA有效显示区域像素点X轴坐标,10bit.pix_y      (pix_y      ),  //输出VGA有效显示区域像素点Y轴坐标,10bit.hsync      (hsync      ),  //输出行同步信号,1bit.vsync      (vsync      ),  //输出场同步信号,1bit.rgb        (rgb        )   //输出像素点色彩信息,16bit
);//------------- vga_pic_inst -------------
vga_pic vga_pic_inst(.vga_clk        (vga_clk    ),  //输入工作时钟,频率25MHz,1bit.sys_rst_n      (rst_n      ),  //输入复位信号,低电平有效,1bit.pix_x          (pix_x      ),  //输入VGA有效显示区域像素点X轴坐标,10bit.pix_y          (pix_y      ),  //输入VGA有效显示区域像素点Y轴坐标,10bit.pix_data_out   (pix_data   )   //输出像素点色彩信息,16bit);endmodule

最后仿真

`timescale  1ns/1ns
module  tb_vga_rom_pic_jump();
//wire  define
wire            hsync       ;
wire    [15:0]  rgb         ;
wire            vsync       ;//reg   define
reg             sys_clk     ;
reg             sys_rst_n   ;
//sys_clk,sys_rst_n初始赋值
initialbeginsys_clk     =   1'b1;sys_rst_n   <=  1'b0;#200sys_rst_n   <=  1'b1;end//sys_clk:产生时钟
always  #10 sys_clk = ~sys_clk  ;
//------------- vga_rom_pic_jump_inst -------------
vga_rom_pic_jump vga_rom_pic_jump_inst
(.sys_clk    (sys_clk    ),  //输入晶振时钟,频率50MHz,1bit.sys_rst_n  (sys_rst_n  ),  //输入复位信号,低电平有效,1bit.hsync      (hsync      ),  //输出行同步信号,1bit.vsync      (vsync      ),  //输出场同步信号,1bit.rgb        (rgb        )   //输出RGB图像信息,16bit
);endmodule

FPGA的学习:基于ROM的VGA图像显示(弹跳特效)相关推荐

  1. FPGA图像处理学习——基于肤色的人脸识别

    本文针对咸鱼FPGA的FPGA实现人脸检测以及直方图拉伸进行原理学习.工程在文末. 一.人脸识别大致流程 获取人脸图像--肤色提取(Ycbcr+阈值)--滤波处理(中值.腐蚀膨胀)--人脸框选--显示 ...

  2. VGA图像显示总结(彩条显示、方块移动、字符显示、基于ROM的图片显示)

    VGA显示器成像原理 图像显示的时候,是采用逐行扫描的方式,从左到右,从上到下,每次扫描一个点的时候发送一个数据,然后那个像素点就会把这个数据对应的颜色发送到屏幕上,在每一次回扫的过程中,不能影响屏幕 ...

  3. imut FPGA课设 基于FPGA的VGA弹球游戏设计 *秋昊

    写在前面的话: 本文主要呈现了一篇IMUT的FPGA课设报告. 课设报告内容(word版),视频演示,程序源码,专业创新实践简介,专业创新实践指导书均已放入下面的百度云链接中,也不大,总共不到20MB ...

  4. 【Zedboard】FPGA边缘提取 图像处理 基于ZYNQ完成 灰度图像 在VGA显示与 边缘提取 二值化 Verilog代码实现

    [Zedboard]FPGA边缘提取 图像处理 基于ZYNQ完成 灰度图像 在VGA显示与 边缘提取 二值化 Verilog代码实现 在项目开始到目前为止已经完成了在Zedboard的PL部分即FPG ...

  5. 我的FPGA入门学习计划

    本文是自己初步学习fpga的一个计划,由于这个月才开始接触的fpga所以可能有认识不足的地方 还请各位大侠指点 (ps本文涉及到的书籍大部分都能在网络上找到pdf档 利用好搜索引擎 和网盘搜索引擎即可 ...

  6. 数字设计FPGA应用学习笔记

    转载https://blog.csdn.net/chinkwoyu/article/details/80259064 数字设计FPGA应用学习笔记 数字设计FPGA应用学习笔记 第一章 FPGA基础及 ...

  7. 2021物联网开发学习——基于小熊派IoT开发板Bear-Pi-IOT、E53_IA1_智慧农业拓展板与小熊派-鸿蒙·季Bear-Pi-HM Nano并接入Hi-Link

    2021物联网开发学习--基于小熊派IoT开发板Bear-Pi-IOT.E53_IA1_智慧农业拓展板与小熊派-鸿蒙·季Bear-Pi-HM Nano并接入Hi-Link 目录 作者介绍 目的 用到的 ...

  8. 基于GD32VF103 的vga显示器 和ps2键盘 驱动

    基于GD32VF103的vga和ps2键盘驱动 前言 gd32vf103 是国内一款很不错的riscv架构微处理器,但是网上gd32vf103的应用还比较少,这里我决定分享一下利用这个微处理器制作的v ...

  9. FPGA基础学习与方向

    问:本人零基础,想学FPGA,求有经验的人说说,我应该从哪入手,应该看什么教程,应该用什么学习板和开发板,看什么书等,希望有经验的好心人能够给我一些引导. 如果想速成,那就上网看视频吧,这样主要是面对 ...

最新文章

  1. Middleware课程01-概述
  2. python基础代码事例-Python基础总结成千行代码,让Python入门更简单!
  3. MobaXterm无法退格删除,出现^H
  4. docker探索-windows10 docker 使用 mysql(二)
  5. 【AI视野·今日NLP 自然语言处理论文速览 第一期】Fri, 4 Jun 2021
  6. 程序代码移植和烧录需要注意什么_法人变更需要注意什么
  7. Service Mesh服务网格:8种方式简化微服务部署
  8. C语言函数二维数组传递方法
  9. Hive Unable to instantiate org.apache.hadoop.hive.ql.metadata.SessionHiveMetaStoreClient
  10. 检查mysql数据库脚本_MYSQL 数据库状态检查脚本(Python版)
  11. 数据库设计及案例分析
  12. 完美解析Opendrive地图格式数据
  13. Z-TEKCE COM控制线驱动
  14. 渗透技巧总结、渗透技巧
  15. Java面向对象的知识(二)
  16. Excel学习路径总结
  17. spring框架多数据源切换问题的解决
  18. 利用字典实现大侠个人信息查询程序
  19. html页面统计在线人数,统计在线人数couter
  20. hive表信息查询:查看表结构、表操作等(转)

热门文章

  1. lotus miner 元数据 删除 重建
  2. mysql删除列命令_MySQL删除列
  3. 【前端技术】一篇文章搞掂:uni-app
  4. 基于springboot+vue的食疗系统
  5. IIC驱动0.96寸OLED屏幕显示(51单片机)
  6. 带你玩东方外传系列十一 ~ 二十游戏链接
  7. 苹果CMSV10本地DPLAYER播放器自动下一集设置教程
  8. uniapp离线打包
  9. 物质模拟器5.0版 新增炸药及可以连续爆炸
  10. 随机森林回归预测r语言_R包 randomForest 进行随机森林分析