一.分频器的设计

  • 分频:将一个时钟N分频,则分频等到的时钟频率为原时钟的1/N,而周期为原时钟周期的N倍。
  • 4种分频: 2n 分频:如2、4、8、16分频等;
                     偶数分频:如6分频,10分频等;
                     奇数分频:如3分频,7分频等;
                     小数分频:如1.5分频、3.22分频等。
  • 分频的方法:使用计数器实现。

二、六分频器的设计

  1. 偶数分频(2m分频):使用2m进制计数器实现,而且可使时钟均匀,占空比50%。 例子:设计一个6分频时钟,则需要一个6进制计数器来实现。
  2. 代码
    module div6(clk,reset,div6);
    input reset,clk;
    output div6;reg div6;
    reg [2:0]counter;
    always@(posedge clk)begin if(reset)counter<=0;elsebeginif(counter==5)counter<=0;else counter<=counter+1;endend
    always@(posedge clk)begin if(reset)div6<=0;else if(counter<3)div6<=1;else div6<=0;end
    endmodule
  3. 仿真波形

    三、1.5分频器的设计

1. 在进行N.5分频是shiftcount需要2N位 分别采用上升沿与下降沿进行采样,其中采样的高电平时间为N,最后将二者的结果进行或运算。

2.代码

module div1dot5(clk,reset,div1d5,clkN,clkP,count);input clk,reset;output div1d5,clkN,clkP;output [2:0] count;reg [2:0] count;wire [2:0]shiftcount;reg clkP,clkN;assign shiftcount = 3'b001;always @ (posedge clk ,negedge reset)if(!reset)count <= shiftcount;else count <= {count[1:0],count[2]}; always @ (negedge clk or negedge reset)if(!reset)clkN <= 0;else if(count == 3'b010)clkN <= 1;else clkN <= 0;  always @ (posedge clk or negedge reset)if(!reset)clkP <= 0;else if(count == 3'b100)clkP <= 1;else clkP <= 0;
assign div1d5 = clkP | clkN;
endmodule

3.仿真波形

Verilog分频器的设计(6分频和1.5分频)相关推荐

  1. 8分频verilog线_Verilog设计分频器(面试必看)

    分频器是指使输出信号频率为输入信号频率整数分之一的电子电路.在许多电子设备中如电子钟.频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种 ...

  2. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  3. 【数字IC手撕代码】Verilog偶数分频|题目|原理|设计|仿真(二分频,四分频,六分频,八分频,偶数分频及特殊占空比)

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  4. Verilog 分频器设计

    目录 1.偶分频 2.奇分频 3.任意分频和占空比 在数字电路中,使用 Verilog 生成不同频率的时钟和占空比是较为常见的一种设计,主要分为偶数分频,奇数分频,也可以任意进行分频和占空比的配置: ...

  5. 16 分频 32 分频是啥意思_Verilog 数字分频器的设计及验证

    1.分频器的概念及应用 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路.在许多电子设备中如电子钟.频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源, ...

  6. 基于QuartusII的verilog数字时钟设计

    基于QuautusII的Verilog 数字时钟设计 (1)基本功能 ①显示年.月.日.星期.时.分,秒,是否为闰年(只有校对生效情 况时间可以不连续) : ②定时与闹铃:到设定的时间(选择周一至周末 ...

  7. 实验二 基于FPGA的分频器的设计(基本任务:设计一个分频器,输入信号50MHz,输出信号频率分别为1KHz、500Hz及1Hz。拓展任务1:用按键或开关控制蜂鸣器的响与不响。拓展任务2:用按键或开)

    实验二 基于FPGA的分频器的设计 1. 实验目的: (1) 掌握QuartusⅡ软件的层次型设计方法: (2) 掌握元件封装及调用方法: (3) 熟悉FPGA实验平台,掌握引脚锁定及下载. 2. 实 ...

  8. 小数分频器vhdl实现_基于FPGA的小数分频器的设计与实现.doc

    基于FPGA的小数分频器的设计与实现.doc 基于FPGA的小数分频器的设计与实现 [摘要]本文首先分析了现有小数分频器的优缺点,在此基础上提出了一种改进型小数分频器的设计方法.同时结合VHDL文本输 ...

  9. 基于Verilog使用Quartus设计数字秒表和数字时钟

    目录 一.数字秒表 1.1 新建工程 1.2 添加 Verilog 文件 1.3 添加 VWF 文件 1.4 波形仿真 二.多功能数字钟 三.总结 本文内容:使用 Quartus 基于 Verilog ...

最新文章

  1. oracle group by用法
  2. LINQ学习之旅——第二站LTQ之标准数据库操作(增查删改)
  3. softmax函数为什么叫softmax?
  4. CentOS7 安装 mircosoft Netcore 自测部署安装
  5. C#温故而知新学习系列之XML编程—Xml写入器XmlWriter类(三)
  6. 普罗米修斯监控系统_基于Prometheus和Grafana的监控平台 - 环境搭建
  7. Golang 笔记 2 函数、结构体、接口、指针
  8. cisco路由器基本实验之六 CHAP and RIP (Boson NetSim)
  9. 评分预测会不会大于满分5.0的情况?
  10. Python---装饰器
  11. 停车场管理系统项目计划书
  12. gorm的Related和Association的区别
  13. Oracle12C 基本操作和使用
  14. 《Java并发编程实战》读书笔记-第5章 基础构建模块
  15. Xshell怎样才能实现快速粘贴复制
  16. Power BI Desktop 微软官方入门教程
  17. oracle表空间datafile,orale tablespacedatafile -- oracle表空间
  18. 微信小程序记事本+后台管理系统
  19. 程序员面试智力题(六)
  20. 软负载均衡和硬负载均衡

热门文章

  1. U盘、移动硬盘;固态硬盘、机械硬盘
  2. 美国临床营养专家:冬季营养建议
  3. 2016Android公司面试题
  4. 为什么你的蓝牙耳机总是出毛病,不好好反思一下这些问题吗?
  5. 老哥教你如何将springboot打包成exe程序
  6. 尼尔机械纪元房顶机器人_尼尔机械纪元辅助机器人是什么 辅助机器人解析攻略...
  7. Linux的用户和用户组
  8. 粒子群算法Particle Swarm Optimization超详细解析+代码实例讲解
  9. 【阅读笔记】低照度图像增强-《Fast efficient algorithm for enhancement of low lighting video》
  10. 机器学习(七)音频可视化第一篇