八选一须具选择器:用CASE语句。

LIBRARY    IEEE;

USE      IEEE.STD_LOGIC_1164.ALL;

ENTITY      mux_8_1      IS

PORT (A,B,C,D,E,F,G,H,En:IN STD_LOGIC;

S:in STD_LOGIC_vector(2 downto 0);

Y: out STD_LOGIC);

end     mux_8_1;

ARCHITECTURE     mux   OF     mux_8_1     IS

begin

process( S,A,B,C,D,E,F,G,H,En)

begin

if En='0' then

case  S   is

when "000" => Y<=A;

when "001" => Y<=B;

when "010" => Y<=C;

when "011" => Y<=D;

when "100" => Y<=E;

when "101" => Y<=F;

when "110" => Y<=G;

when "111" => Y<=H;

end case;

end if;

end process;

end  mux;

8选1的多路选择器c语言代码,八选一数据选择器的VHDL程序相关推荐

  1. c语言错误 xef代表什么,单片机C语言代码手册 含100多个经典C程序

    1 单片机单片机 C 语言代码手册语言代码手册 1 LED 灯灯 点亮一个点亮一个 LED include void main while 1 P0 0 x01 P2 0 x7d 流水灯闪烁流水灯闪烁 ...

  2. 11选5c语言代码,11选5中“胆”存秘籍 三绝招助彩民有所斩获

    图集 今年以来,利用"2胆全拖"方式投注"11选5"任选三的彩民越来越多,那么什么是"2胆全拖".如何运用"2胆全拖"进 ...

  3. 金山打字游戏c语言代码,一天练习一个小C/C++程序(一) 控制台版“金山打字”游戏...

    今天练习了一个C语言小程序,控制台版的简易"金山打字"小游戏. 效果图: 代码: #include #include #include #include void printfSp ...

  4. 猜拳游戏c语言代码流程图,这是一个猜拳游戏的程序 大家有更好的解决方法么?...

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 #include /* 显示程序的使用方法 */ void help() { printf("Usage : \nFind and/or rep ...

  5. c语言编写atm取款功能_21行C语言代码编写一个具备加密功能的聊天程序!网友:666...

    具备加密功能的VC++聊天程序实例,"密聊"采用 2048bit 的 RSA 数据加密算法对数据进行加密和数字签名,在使用前必须生成用户的私钥和公钥. 只要通过安全通道相互把公钥传 ...

  6. 【数字逻辑 Verilog】全面剖析数据选择器——从基础到拓展,从理论到设计的实现,从表面到本质

    0 前言 0.1 使用环境 EDA工具:Vivado 2017.4 硬件描述语言:Verilog HDL 0.2 涉及知识 数字逻辑 Verilog 1 基础模块:一位四选一数据选择器 1.1 设计部 ...

  7. excel计算二元线性回归_多重共线性及解决方法(附R语言代码)

    一.多重共线性及其危害 我有一组自变量: 它们满足下列关系: 那么我们称这四个变量间存在多重共线性. 这就意味着,一个变量可以被另外几个变量来解释,这就会带来两个后果 1.尽管系数估计的无偏性没有收到 ...

  8. 四选一、八选一的多路选择器

    1.多路选择器设计方式 case语句 if else 语句 2.四选一的选择器 制作一个四选一的多路选择器,要求输出定义上为线网类型 状态转换: d0    11 d1    10 d2    01 ...

  9. 硬件 - 数字电路 - 使用反向门、与门、或门搭建二选一的多路选择器

    文章目录 基础知识 分析 这是一个二选一的多路选择器,其由一个反向门 U3,两个二输入与门 U1.U2,以及一个二输入或门 U4 组成: 通过 SELECTION(选择端)可以选择信号的输入源:要么 ...

  10. FPGA(1)--VHDL--6选1数据选择器

    文章目录 一.实验目的 二.实验内容 三.实验设计 四.实验结果及仿真 五.实验思考与总结 一.实验目的 掌握用VHDL语句进行组合电路设计的方法,并熟悉程序的编译.调试与波形图的仿真. 二.实验内容 ...

最新文章

  1. 麦子的第一个注解+spring小案例 欢迎指点学习。
  2. 阿里云域名备案时产品类型
  3. 【热烈祝贺】俺们的S5PV210 工控板终于启动到wince桌面了!
  4. 系统时间大全,待整理
  5. Linux-常用系统管理命令
  6. C语言实现通用链表初步(三)----单元测试
  7. linux下调试thread 类_在 RISC-V 芯片 GD32V 上运行 RT-Thread
  8. [AGC009B] Tournament(多叉树转二叉树后的最小可能深度)
  9. 关于Vue2.0,Express实现的简单跨域
  10. Excel和Word 简易工具类,JEasyPoi 2.1.5 版本发布
  11. Ubuntu 在线升级linux 内核的方法
  12. ajax中sy,黑马eesy_15 Vue:vue语法和生命周期与ajax异步请求
  13. i2c-tools 使用集锦
  14. python导入鸢尾花数据集_python数据挖掘学习笔记】十九.鸢尾花数据集可视化、线性回归、决策树花样分析...
  15. win7 64位专业版读不出来移动硬盘的解决办法
  16. python 正则表达式 前瞻_Python的正则表达式
  17. zigbee学习之zigbee应用框架
  18. nginx配置选项try_files详解
  19. 微信企业号用户验证php,身份验证
  20. 做企业数字化转型的最佳拍档,中软国际的变与不变

热门文章

  1. NVIDIA控制面板不见了解决方法
  2. linux软盘镜像下载,软盘镜像Image文件制作(WinImage Pro)
  3. Java整形位运算避免精度缺失,浮点数精度问题透析:小数计算不准确+浮点数精度丢失根源...
  4. 数据库系统基础教程一:关系数据库与关系代数
  5. SQLServer2016安装教程
  6. (最新版 易卷)自动阅卷系统|自动阅卷机|网上阅卷系统
  7. 程序员学习资料(2)—硅谷之火,Html Css,python,mysql 等
  8. 何先振第2期:Java语言描述与编写第一个程序
  9. 单片机编程软件很简单(16),Keil单片机编程软件建立工程项目
  10. 软件开发系统类别记录