本例程采用了HAL库进行项目开发(主要使用软件CubexMX和keil5),文章末尾会有代码开源,欢迎各位对文章进行指正和探讨。

基于PID的减速电机控制

一、 硬件模块与原理图   

1、硬件组成   

硬件组成:stm32f103c8t6最小系统板;0.96寸LED12864(I2C通讯模式);智能小车12v移动电源;25GA370直流减速电机(带霍尔编码器);JDY-31蓝牙模块;L298N电机驱动模块;杜邦线若干;1个面包板;

图片如下:

2、模块分析

1、L298N电机驱动模块

1.模块可驱动两路直流电机,输出A和B各接一直流电机即可;

2.若使用12V供电,将12V供电端口及GND接上电源正负即可,同时5V供电端可以作为最小系统板的输入电源;

3.若不需要使用PWM调速,只需要控制电机正反转,则逻辑A与B跳线帽插上即可,相当于始终使能;

4.若需要使用PWM调速,需将跳线帽拔起,将使能端接上单片机IO口。(定时器IO口,PWM输出模式);

5.逻辑输入四个端口IN1、IN2、IN3、IN4接单片机四个IO口,每两个端口控制的一路电机。

温馨提示: 特别不建议新手或者资金有限的情况下,使用电机驱动模块直连成品开发板,很容易烧坏。

原因:(1) 由于电机的特性,电机在堵转或者高负载下,电流会增大,可能会影响到单片机。(2)新手玩单片机可能出现短路等情况,很容易板子冒烟;

L298N的转动逻辑图:

2、0.96寸OLED(I2C通讯)

(1)目前市面主要分为OLED与LCD这2种屏幕;

(2)OLED自发光特性,LCD都要背光,而OLED不需要,因为它是自发光。这样同样的显示,OLED效果要来得好一些;

(3)多种接口方式:6800,8080两种并行接口方式,4线的穿行SPI接口,IIC接口方式(2线);

(4)不要接过高电压,3.3V就可以正常工作了;

(5)OLED不足之处是做大之后成本较高。

本实验采用了0.96寸OLED的屏幕(通讯方式IIC),4个接线柱(SCL,SDA,GND,VCC);         IIC通讯实现方式: IIC(Inter-Integrated Circuit)总线是一种由 PHILIPS 公司开发的两线式串行总线,用于连接微控制器及其外围设备。它是由数据线 SDA 和时钟 SCL 构成的串行总线,可发送和接收数据。高速 IIC 总线一般可达 400kbps 以上。

模拟IIC通讯:

I2C 是支持多从机的,也就是一个 I2C 控制器下可以挂多个 I2C 从设备,这些不同的 I2C从设备有不同的器件地址,这样 I2C 主控制器就可以通过 I2C 设备的器件地址访问指定的 I2C设备了。SDA 和SCL 这两根线必须要接一个上拉电阻,一般是 4.7K。其余的 I2C 从器件都挂接到 SDA 和 SCL 这两根线上,这样就可以通过 SDA 和 SCL 这两根线来访问多个 I2C设备。

I2C 协议:(1)起始位;(2)停止位;(3)数据传输;(4)应答信号;(5)I2C 写时序;(6)I2C 读时序

I2C 写时序

1)、开始信号。

2)、发送 I2C 设备地址,每个 I2C 器件都有一个设备地址,通过发送具体的设备地址来决

定访问哪个 I2C 器件。这是一个 8 位的数据,其中高 7 位是设备地址,最后 1 位是读写位,为

1 的话表示这是一个读操作,为 0 的话表示这是一个写操作。

3)、 I2C 器件地址后面跟着一个读写位,为 0 表示写操作,为 1 表示读操作。

4)、从机发送的 ACK 应答信号。

5)、重新发送开始信号。

6)、发送要写写入数据的寄存器地址。

7)、从机发送的 ACK 应答信号。

8)、发送要写入寄存器的数据。

9)、从机发送的 ACK 应答信号。

10)、停止信号。

I2C 时序

I2C 单字节读时序比写时序要复杂一点,读时序分为 4 大步,第一步是发送设备地址,第二步是发送要读取的寄存器地址,第三步重新发送设备地址,最后一步就是 I2C 从器件输出要读取的寄存器值,我们具体来看一下这几步。

1)、主机发送起始信号。

2)、主机发送要读取的 I2C 从设备地址。

3)、读写控制位,因为是向 I2C 从设备发送数据,因此是写信号。

4)、从机发送的 ACK 应答信号。

5)、重新发送 START 信号。

6)、主机发送要读取的寄存器地址。

7)、从机发送的 ACK 应答信号。

8)、重新发送 START 信号。

9)、重新发送要读取的 I2C 从设备地址。

10)、读写控制位,这里是读信号,表示接下来是从 I2C 从设备里面读取数据。

11)、从机发送的 ACK 应答信号。

12)、从 I2C 器件里面读取到的数据。

13)、主机发出 NO ACK 信号,表示读取完成,不需要从机再发送 ACK 信号了。

14)、主机发出 STOP 信号,停止 I2C 通信。

3、JDY-31蓝牙模块

市场上蓝牙模块有很多,常见的JDY-xx,HC-xx等系列。其实看似高级的蓝牙功能背后就是简单的串口通讯

USART 的全称是 Universal Synchronous/Asynchronous Receiver/Transmitter,也就是同步/异步串行收发器。相比 UART 多了一个同步的功能,在硬件上体现出来的就是多了一条时钟线。一般 USART 是可以作为 UART 使用的,也就是不使用其同步的功能。

串口通讯协议:

数据包:串口通讯的数据包由发送设备通过自身的TXD接口传输到接收设备得RXD接口,在协议层中规定了数据包的内容,具体包括起始位、主体数据(8位或9位)、校验位以及停止位,通讯的双方必须将数据包的格式约定一致才能正常收发数据。

具体如图所示:

波特率:由于异步通信中没有时钟信号,所以接收双方要约定好波特率,即每秒传输的码元个数,以便对信号进行解码,常见的波特率有4800、9600、115200等。STM32中波特率的设置通过串口初始化结构体来实现。

注意:MCU设置的波特率大小要与蓝牙APP设置的大小一致!

        4、6线减速电机(带编码器)模块:

市面上电机有很多,常用的有步进电机,直流减速电机,伺服电机等等; 编码器:用来测量电机转速的仪器元件,常见的有:霍尔编码器,光电编码器等 电机的驱动原理很简单,给电压差即可使得电机转动,调速则利用PWM调节发。

编码器原理: 编码器是一种将角位移或者角速度转换成一串电数字脉冲的旋转式传感器。 编码器工作原理: 霍尔编码器是有霍尔马盘和霍尔元件组成。霍尔马盘是在一定直径的圆板上等分的布置有不同的磁极。霍尔马盘与电动机同轴,电动机旋转时,霍尔元件检测输出若干脉冲信号,为判断转向,一般输出两组存在一定相位差的方波信号。

注意:通过判断A与B相哪一位在前,即可判断出正转还是反转

二、CubexMX设置

使用的MCU为stm32f103c8t6:

RCC:

SYS:

注意:Debug这里一定要设置成Serial Wire否则可能出现芯片自锁

GPIO设置:

定时TIM2用来测速与测量正转反转(计数器模式)

定时3:PWM调节

I2C:

         USART1:

之后按照自己习惯生成初始化文件

三、代码

自动生成的:

需要自己编写的:

I2C代码:

#include "oled.h"
#include "asc.h"
#include "main.h"
void WriteCmd(unsigned char I2C_Command)//???{HAL_I2C_Mem_Write(&hi2c2,OLED0561_ADD,COM,I2C_MEMADD_SIZE_8BIT,&I2C_Command,1,100);}void WriteDat(unsigned char I2C_Data)//???{HAL_I2C_Mem_Write(&hi2c2,OLED0561_ADD,DAT,I2C_MEMADD_SIZE_8BIT,&I2C_Data,1,100);}void OLED_Init(void)
{HAL_Delay(100); //????????WriteCmd(0xAE); //display offWriteCmd(0x20); //Set Memory Addressing Mode    WriteCmd(0x10); //00,Horizontal Addressing Mode;01,Vertical Addressing Mode;10,Page Addressing Mode (RESET);11,InvalidWriteCmd(0xb0);   //Set Page Start Address for Page Addressing Mode,0-7WriteCmd(0xc8);    //Set COM Output Scan DirectionWriteCmd(0x00); //---set low column addressWriteCmd(0x10); //---set high column addressWriteCmd(0x40); //--set start line addressWriteCmd(0x81); //--set contrast control registerWriteCmd(0xff); //???? 0x00~0xffWriteCmd(0xa1); //--set segment re-map 0 to 127WriteCmd(0xa6); //--set normal displayWriteCmd(0xa8); //--set multiplex ratio(1 to 64)WriteCmd(0x3F); //WriteCmd(0xa4); //0xa4,Output follows RAM content;0xa5,Output ignores RAM contentWriteCmd(0xd3); //-set display offsetWriteCmd(0x00); //-not offsetWriteCmd(0xd5); //--set display clock divide ratio/oscillator frequencyWriteCmd(0xf0); //--set divide ratioWriteCmd(0xd9); //--set pre-charge periodWriteCmd(0x22); //WriteCmd(0xda); //--set com pins hardware configurationWriteCmd(0x12);WriteCmd(0xdb); //--set vcomhWriteCmd(0x20); //0x20,0.77xVccWriteCmd(0x8d); //--set DC-DC enableWriteCmd(0x14); //WriteCmd(0xaf); //--turn on oled panel
}void OLED_SetPos(unsigned char x, unsigned char y) //???????
{ WriteCmd(0xb0+y);WriteCmd(((x&0xf0)>>4)|0x10);WriteCmd((x&0x0f)|0x01);
}void OLED_Fill(unsigned char fill_Data)//????
{unsigned char m,n;for(m=0;m<8;m++){WriteCmd(0xb0+m);        //page0-page1WriteCmd(0x00);        //low column start addressWriteCmd(0x10);       //high column start addressfor(n=0;n<128;n++){WriteDat(fill_Data);}}
}void OLED_CLS(void)//??
{OLED_Fill(0x00);
}void OLED_ON(void)
{WriteCmd(0X8D);  //?????WriteCmd(0X14);  //?????WriteCmd(0XAF);  //OLED??
}void OLED_OFF(void)
{WriteCmd(0X8D);  //?????WriteCmd(0X10);  //?????WriteCmd(0XAE);  //OLED??
}// Parameters     : x,y -- ?????(x:0~127, y:0~7); ch[] -- ???????; TextSize -- ????(1:6*8 ; 2:8*16)
// Description    : ??codetab.h??ASCII??,?6*8?8*16???
void OLED_ShowStr(unsigned char x, unsigned char y, unsigned char ch[], unsigned char TextSize)
{unsigned char c = 0,i = 0,j = 0;switch(TextSize){case 1:{while(ch[j] != '\0'){c = ch[j] - 32;if(x > 126){x = 0;y++;}OLED_SetPos(x,y);for(i=0;i<6;i++)WriteDat(F6x8[c][i]);x += 6;j++;}}break;case 2:{while(ch[j] != '\0'){c = ch[j] - 32;if(x > 120){x = 0;y++;}OLED_SetPos(x,y);for(i=0;i<8;i++)WriteDat(F8X16[c*16+i]);OLED_SetPos(x,y+1);for(i=0;i<8;i++)WriteDat(F8X16[c*16+i+8]);x += 8;j++;}}break;}
}// Parameters     : x,y -- ?????(x:0~127, y:0~7); N:???.h????
// Description    : ??ASCII_8x16.h????,16*16??
void OLED_ShowCN(unsigned char x, unsigned char y, unsigned char N)
{unsigned char wm=0;unsigned int  adder=32*N;OLED_SetPos(x , y);for(wm = 0;wm < 16;wm++){WriteDat(F16x16[adder]);adder += 1;}OLED_SetPos(x,y + 1);for(wm = 0;wm < 16;wm++){WriteDat(F16x16[adder]);adder += 1;}
}// ????????????????,????????“??——???——????”??????ascll.h?????(????)
//???????:x:?????
//                              y:???(??0-7)
//                              begin:????????????????ascll.c???????
//                num:????????
//                ????“??”,??????????????????0,1,???0,??????,??:x:0,y:2,begin:0,num:2
void OLED_ShowCN_STR(u8 x , u8 y , u8 begin , u8 num)
{u8 i;for(i=0;i<num;i++){OLED_ShowCN(i*16+x,y,i+begin);}    //OLED????
}// Parameters     : x0,y0 -- ?????(x0:0~127, y0:0~7); x1,y1 -- ?????(???)???(x1:1~128,y1:1~8)
// Description    : ??BMP??
void OLED_DrawBMP(unsigned char x0,unsigned char y0,unsigned char x1,unsigned char y1,unsigned char BMP[])
{unsigned int j=0;unsigned char x,y;if(y1%8==0)y = y1/8;elsey = y1/8 + 1;for(y=y0;y<y1;y++){OLED_SetPos(x0,y);for(x=x0;x<x1;x++){WriteDat(BMP[j++]);}}
}void OLED_ShowChar(u8 x,u8 y,u8 chr,u8 Char_Size)
{       unsigned char c=0,i=0;    c=chr-' ';//???????          if(x>128-1){x=0;y=y+2;}if(Char_Size ==16){OLED_SetPos(x,y); for(i=0;i<8;i++)WriteDat(F8X16[c*16+i]);OLED_SetPos(x,y+1);for(i=0;i<8;i++)WriteDat(F8X16[c*16+i+8]);}else {    OLED_SetPos(x,y);for(i=0;i<6;i++)WriteDat(F6x8[c][i]);}
}
u32 oled_pow(u8 m,u8 n)
{u32 result=1;  while(n--)result*=m;    return result;
}
//??2???
//x,y :????
//len :?????
//size:????
//mode:??   0,????;1,????
//num:??(0~4294967295);
void OLED_ShowNum(u8 x,u8 y,u32 num,u8 len,u8 size2)
{           u8 t,temp;u8 enshow=0;                        for(t=0;t<len;t++){temp=(num/oled_pow(10,len-t-1))%10;if(enshow==0&&t<(len-1)){if(temp==0){OLED_ShowChar(x+(size2/2)*t,y,' ',size2);continue;}else enshow=1; }OLED_ShowChar(x+(size2/2)*t,y,temp+'0',size2); }
} 

UART代码:

#include "uart.h"uint8_t USART1_RX_BUF[USART1_REC_LEN];//????,??USART_REC_LEN???.
uint16_t USART1_RX_STA=0;//??????//bit15:??????,bit14~0:??????????
uint8_t USART1_NewData;//?????????1????????extern int flag;void  HAL_UART_RxCpltCallback(UART_HandleTypeDef  *huart)//????????
{if(huart ==&huart1){if((USART1_RX_STA&0x8000)==0)//?????{if(USART1_NewData==0x5A)//????0x5A{USART1_RX_STA|=0x8000;   //?????,?USART2_RX_STA??bit15(15?)?1}else{USART1_RX_BUF[USART1_RX_STA&0X7FFF]=USART1_NewData; if(USART1_RX_BUF[1] == 0x01){flag = 2;}USART1_RX_STA++;  //???????1if(USART1_RX_STA>(USART1_REC_LEN-1))USART1_RX_STA=0;//??????,??????}}HAL_UART_Receive_IT(&huart1,(uint8_t *)&USART1_NewData,1); }
}

常规的编写如上,但是本人的MCU存在问题,单片机并未接收到预设的数据。

所以,本人项目中采用了下方代码:

#include "uart.h"uint8_t USART1_RX_BUF[USART1_REC_LEN];//????,??USART_REC_LEN???.
uint16_t USART1_RX_STA=0;//??????//bit15:??????,bit14~0:??????????
uint8_t USART1_NewData;//?????????1????????extern int flag;void  HAL_UART_RxCpltCallback(UART_HandleTypeDef  *huart)//????????
{if(huart ==&huart1){USART1_RX_BUF[USART1_RX_STA&0X7FFF]=USART1_NewData;                     USART1_RX_STA++;  //???????1if(USART1_RX_STA>(USART1_REC_LEN-1))USART1_RX_STA=0;//??????,??????if(USART1_RX_BUF[USART1_RX_STA-4] == 0xA0){flag = 1;}if(USART1_RX_BUF[USART1_RX_STA-4] == 0x90){flag = 2;}if(USART1_RX_BUF[USART1_RX_STA-4] == 0xD0){flag = 3;}if(USART1_RX_BUF[USART1_RX_STA-4] == 0x88){flag = 4;}if(USART1_RX_BUF[USART1_RX_STA-4] == 0x48){flag = 5;}                           HAL_UART_Receive_IT(&huart1,(uint8_t *)&USART1_NewData,1); }
}

如果大家自己使用的花,可以根据自己的蓝牙APP写这段程序,有问题欢迎留言

Motor代码:

#include "motor.h"void MOTOR_GO()
{__HAL_TIM_SET_COMPARE(&htim3, TIM_CHANNEL_1,3000);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_SET);
}void MOTOR_BACK()
{HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_SET);
}void MOTOR_STOP()
{HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_RESET);
}void MOTOR_UP()
{__HAL_TIM_SET_COMPARE(&htim3, TIM_CHANNEL_1,1);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_SET);
}void MOTOR_DOWN()
{__HAL_TIM_SET_COMPARE(&htim3, TIM_CHANNEL_1,400);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_SET);
}

PID:

PID算法:

PID分为位置型和增量型

增量型即通过 u(k)-u(k-1) 从而得出式子:

公式的第一部分是比例式 是为了让值按一定比例达到目标值;

第二部分是积分值,正值,在计算的过程中往往会受到环境等一些其他因素的影响,导致值不能到达目标值;

第三部分是微分值,通常是负值,后一次偏差值往往小于前一次偏差值,目的是为了防止值增加过大,通常起一个阻碍的作用;

PID代码:

#include "pid.h"
#include "tim.h"
#include "main.h"
#include "math.h"
#include "i2c.h"
#include "oled.h"unsigned int MotorSpeed;     //È«¾Ö±äÁ¿£¬µç»úµ±Ç°×ªËÙ
int SpeedTarget = 750;             //Ä¿±êתËÙ
int MotorOutput;                        //µç»úÊä³ö//1.ÀûÓÃTIM2¼ÆËãµç»úתËÙvoid GetMotorSpeed(void)
{
//      int CaptureNumber =    (short)__HAL_TIM_GET_COUNTER(&htim2);     //HAL¿âº¯Êý¼ÆËãÂö³å´ÎÊý
//
//  //µç»úתËÙת»»Speed=1sÄÚµÄÂö³åÊý/44(һȦ11¸öÐźţ¬4±¶Æµ·¨)/34¼õËÙ±È
//      int MotorSpeed=CaptureNumber*20/44/34*2*3.14*3;
//      OLED_ShowNum(40,0,MotorSpeed,4,16);
//
//      __HAL_TIM_GET_COUNTER(&htim2) = 0;                                                 //¼ÆÊýÆ÷ÇåÁãint CaptureNumber =    (short)__HAL_TIM_GET_COUNTER(&htim2);     //???????__HAL_TIM_GET_COUNTER(&htim2) = 0;
//  int Speed=CaptureNumber*5/44/34*2*3.14*3;int   Direction = __HAL_TIM_IS_TIM_COUNTING_DOWN(&htim2);        if(Direction == 1){CaptureNumber -= 65535;}MotorSpeed=CaptureNumber;OLED_ShowNum(40,0,MotorSpeed,4,16);HAL_Delay(100);OLED_CLS();
//  __HAL_TIM_GET_COUNTER(&htim2) = 0;
}//2.ÔöÁ¿Ê½PID¿ØÖÆÆ÷£¨PID³£¼û·ÖΪλÖÃPIDºÍÔöÁ¿Ê½PID£©int Error_Last,Error_Prev;     //ÉÏ´ÎÎó²î£¬ÉÏÉÏ´ÎÎó²î
int Pwm_add,Pwm;                            //PWMÔöÁ¿,PWMÕ¼¿Õ±Èint Kp = 5, Ki = 3, Kd = 1;//PIDË㷨ϵÊý£¬¸¡µãÀàÐÍ£¬Ð¾Æ¬¼ÆËãÄÜÁ¦Ò»°ãʱ½¨ÒéÕûÐÍ£¬»òÕß*1024int SpeedInnerControl(int Speed,int Target)      //ËÙ¶ÈÄÚ»·¿ØÖÆ
{int Error = Target - Speed;       //Îó²î = Ä¿±êËÙ¶È - ʵ¼ÊËÙ¶È Pwm_add = Kp * (Error - Error_Last) +                                       //±ÈÀýKi * Error +                                                                     //»ý·ÖKd * (Error - 2.0f * Error_Last + Error_Prev);   //΢·ÖPwm += Pwm_add;     //Êä³öÁ¿=ԭʼÁ¿+ÔöÁ¿Error_Prev = Error_Last; //±£´æÉÏÉÏ´ÎÎó²îError_Last = Error;                //±£´æÉÏ´ÎÎó²îif(Pwm > 4999) Pwm = 3000;    //ÏÞÖÆÉÏÏÂÏÞ£¬·ÀÖ¹PWM³¬³öÁ¿³Ìif(Pwm <-4999) Pwm =-3000;return Pwm;  //·µ»ØÊä³öÖµ
}//3.µç»úתËÙÓë·½ÏòµÄº¯Êý£¨PID¿ØÖÆ£©void SetMotorVoltageAndDirection(int Pwm)
{if(Pwm < 0)         //Èç¹ûPWMСÓÚ0{        HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_SET);Pwm = (-Pwm);           //PWMÖ»ÄÜÈ¡ÕýÖµ£¬Èç¹ûΪ¸ºÊý£¬Ö±½ÓÈ¡·´__HAL_TIM_SET_COMPARE(&htim3, TIM_CHANNEL_1, Pwm); //PWMµ÷ËÙ} else{HAL_GPIO_WritePin(GPIOA,GPIO_PIN_4,GPIO_PIN_RESET);HAL_GPIO_WritePin(GPIOA,GPIO_PIN_5,GPIO_PIN_SET);__HAL_TIM_SET_COMPARE(&htim3, TIM_CHANNEL_1, Pwm);  //PWMµ÷ËÙ}
}void ModePID()
{GetMotorSpeed();MotorOutput = SpeedInnerControl(MotorSpeed,SpeedTarget);SetMotorVoltageAndDirection(MotorOutput);
}

主函数代码:

 while (1){  switch(flag)    {case(1):MOTOR_GO();break;case(2):MOTOR_BACK();break;case(3):MOTOR_STOP();break;case(4):MOTOR_UP();break;case(5):ModePID();break;default:break;}     /* USER CODE END WHILE */if(flag != 5){int CaptureNumber =   (short)__HAL_TIM_GET_COUNTER(&htim2);     //???????__HAL_TIM_GET_COUNTER(&htim2) = 0;
//    int   Direction = __HAL_TIM_IS_TIM_COUNTING_DOWN(&htim2); //µç»úתËÙת»»Speed=1sÄÚµÄÂö³åÊý/44(һȦ11¸öÐźţ¬4±¶Æµ·¨)/34¼õËÙ±È
//      int Speed=CaptureNumber*5/44/34*2*3.14*3;int   Direction = __HAL_TIM_IS_TIM_COUNTING_DOWN(&htim2);        if(Direction == 1){CaptureNumber -= 65535;}int Speed=CaptureNumber;OLED_ShowNum(40,0,Speed,5,16);HAL_Delay(100);OLED_CLS();         }int    Direction = __HAL_TIM_IS_TIM_COUNTING_DOWN(&htim2);            OLED_ShowCN_STR(0,0,0,3);
//    OLED_ShowNum(40,0,Speed,4,16);OLED_ShowStr(90,0,"cm/s",2);OLED_ShowCN_STR(0,3,3,2);     if(Direction==0){OLED_ShowCN_STR(40,3,5,2);   }if(Direction==1){OLED_ShowCN_STR(40,3,7,2);}
//      HAL_Delay(1000);
//      OLED_CLS();/* USER CODE BEGIN 3 */}

蓝牙APP源代码以及技术论文:链接:https://pan.baidu.com/s/1-rbicxuyLVCq6rglCWcJTg 
提取码:huzm

基于stm32的减速直流电机PID算法控制相关推荐

  1. 51单片机PID算法控制无刷直流电机proteus仿真

    51单片机PID算法控制无刷直流电机proteus仿真 1.五个按键,停止/启动,正转,反转,加速,减速 2.显示lcd1602,第一行设置速度set= 3.第二行实际速度speed= r/min 4 ...

  2. 【手把手带你用pid算法控制电机】——(4)串级PID控制电机

    前言 1.该系列教程是基于stm32f103c8t6最小系统板的hal库开发,用最通俗易懂的方式手把手带你学会使用Pid算法的速度环.位置环以及速度位置串级pid. 2.出这一期Pid系列教程的想法是 ...

  3. 基于STM32单片机的直流电机PWM调速(Proteus仿真+程序)

    编号:9 基于STM32单片机的直流电机PWM调速 功能描述: 由 STM32单片机+液晶1602显示模块+键盘模块+L298N电机驱动模块+直流电机 1.采用STM32F103单片机为主控制器 2. ...

  4. LabVIEW增量式PID算法控制房间温度变化的简单例子的程序

    PID相关的基础知识可以查看我之前写的博客: PID算法的基础知识 基于PID算法的房间温度控制 增量式PID算法控制房间温度变化的简单例子 LabVIEW简单的PID控制程序 前面板

  5. 基于数字电路交通灯信号灯控制系统设计-基于单片机病房温度监测与呼叫系统设计-基于STM32的无线蓝牙心电监护仪系统设计-基于STM32的智能蓝牙温控风扇控制设计-基于STM32的智能温室控制系统设计

    1617基于数字电路交通灯信号灯控制系统设计(仿真电路,论文报告)  摘  要:交通灯控制系统在城市交通控制中发挥着重要的作用,本次课程设计就是以城市交通灯控制系统为背景的,主要通过运用学过的数字电路 ...

  6. 基于STM32的智能温室控制系统仿真电路设计(温控补光)-基于STM32的智能蓝牙温控风扇控制系统设计-基于STM32的无线蓝牙心电监护仪系统设计【毕设课设分享】

    1609 基于STM32的智能蓝牙温控风扇控制系统设计-毕设课设 1.LCD1602液晶显示当前温度,温度上下限值,风扇等级,自动手动模式: 2.设置有4个按键,按键1可以设置自动和手动2种模式切换: ...

  7. PID算法控制的PWM调速

    参考: https://www.docin.com/p-49742941.html https://www.arduino.cn/thread-17754-1-1.html https://www.y ...

  8. 【手把手带你用pid算法控制电机】——(1)编码器电机和0.96寸OLED显示屏的使用

    目录 前言 一.需要用到的器材 二.接线说明 三.cubmx配置 3.1 时钟树 3.2 输出PWM(TIM4) 3.3 编码器模式(TIM3) 3.4 中断定时器配置(TIM1) 3.5 配置IIC ...

  9. 无人驾驶中识别颜色并跟踪的优化(加入PID算法控制)

    我们了解到无人驾驶是如何去识别颜色的,以及无人车能够跟随颜色目标的演示.回到现实中我们发现,无人车的速度控制是很关键的,这个涉及到安全问题,比如等待红绿灯时,该减速或加速超车等这样很常见的情形,在上节 ...

  10. stm32的语音识别_免费开源基于STM32的智能垃圾桶之舵机控制(HAL库)

    大家好,我是『芯知识学堂』的SingleYork,前面给大家简单介绍了<基于STM32的智能垃圾桶之成员简介>.<基于STM32的智能垃圾桶之语音识别>和<基于STM32 ...

最新文章

  1. CSS属性disabled和readonly的区别是什么
  2. vlookup反向查询_【Excel 函数】Vlookup 正反向查询
  3. 云点播网页版_微软宣布:免费开放微软云办公、云桌面、云远程方案
  4. conditional_如何:在Spring中使用@Conditional和Condition注册组件
  5. React开发(115):子组件无法处理复杂的数据格式
  6. python二维图颜色函数_Python绘图之二维图与三维图详解
  7. java 开发平台idea_JAVA开发平台intellij idea使用教程:有哪些方法可以实现自动导入...
  8. 技术对游戏公司来讲到底有多重要?
  9. 输入一个链表,输出该链表中倒数第k个结点
  10. Java编程:排序算法——选择排序
  11. 深度学习(二十一)基于FCN的图像语义分割-CVPR 2015
  12. Linux RTC驱动模型分析之rtc-proc.c
  13. 机器学习数据预处理之字符串转数字
  14. 深度可分离卷积解析 - MobileNetV1
  15. 渗透测试技术----工具使用(二)--Nessus工具下载及使用(安装在Kali上)
  16. 车联网V2X深度应用,聚焦CIDI智能网联交叉路口技术
  17. ros中的launch文件注释
  18. dsp正弦信号发生c语言,正弦信号发生器的DSP实现方法及比较
  19. [转]前端开发必备神级资源(转载请删除括号里的内容)
  20. 华硕rt-n16无线打印服务器,无线打印好拍档 华硕RT-N16赠照片打印机(图)

热门文章

  1. 实测发现,微软 Win11 并不比 Win10 更快
  2. Arduino基础入门篇28—舵机控制
  3. 我是一名Linux系统运维工程师
  4. please select a valid python interpreter(请选择一个解释器)
  5. 你有多久没有看过星星
  6. TMS320F28335与10位数模转换器TLC5615的SPI通讯问题1(DAC数模转换原理篇)
  7. android手机有哪些版本,支持安卓5.0的手机有哪些 android5.0手机汇总
  8. 用python画圆角矩形_如何用OpenCV绘制圆角矩形(带圆角的矩形)?
  9. 不想在网易博客写技术文章了
  10. 视频播放器软件哪个最好用?盘点6款Windows电脑上超级好用的本地视频播放器