DSP6455的EMIFA模块

之前介绍了DSP6455的GPIO和中断部分。今天,继续介绍EMIFA模块。

关于C6000系列的GPIO,请参考:C6000系列DSP的GPIO模块

关于C6000系列的中断系统,请参考:C6000系列DSP的中断系统

背景

使用FPGA系统进行视频采集,DSP进行视频处理需要了解以下知识:

1.  DSP-C6000系列的中断与GPIO系统

2.  DSP-C6000系列的EMIFA模块

3.  DSP-C6000系列的EDMA模块

4.  FPGA的乒乓RAM

5.  一种视频格式(例如VGA,PAL等)

6.  视频处理算法

之前已经介绍了第一部分,今天介绍第二部分。

主题

EMIF是External Memory Interface的简称。个人认为它是DSP比较强大的地方之一。通过EMIF接口,使得DSP可以和FPGA很方便地进行大数据量的数据传输。

C6455的EMIFA可以访问多种外部存储器,比如:SRAM,ROM,FLASH等等。当然,也包括FPGA。本文的重点就是介绍使用EMIFA接口与FPGA建立无缝连接。

--------------------------------------------华丽分割------------------------------------------------

EMIFA

根据习惯,还是先贴图,框图总给人一目了然的感觉。

这是官方文档给出的EMIFA模块的接口示意图,乍一看,复杂的很。好多引脚而且还有好多复用。没关系,我们再贴一张,你就会感觉轻松很多了。

这一张图首先是把EMIFA模块的接口分了类,然和呢,我把在与FPGA通信场合下所需要使用的管脚使用红色框框标注了出来。是不是少了很多呢。归纳一下标注的管脚,如下:

AED[63:0]        64位数据总线

AEA[19:0]        20位地址总线(Optional)

ACE2              片选信号(低有效)

AECLKOUT      时钟信号

ASWE             写使能(低有效)

ASRE               读使能(低有效)

(注:应用场合是DSP读FPGA内部RAM中的图像数据,其他场合续根据情况调整)

由于FPGA的可编程性,使得一切从DSP看来简单了许多。因为DSP面对的“存储器”显得格外智能。甚至连地址线都可以不需要。

下面,我们来一一分析上述的信号。

首先,应该是片选信号CE。这里不得不提到DSP的地址空间。下图是DSP6455的EMIFA映射情况

从图中可以看出:

EMIFA共支持4个外部存储器,比如可以把CE2分配给FPGA,CE3分配给SRAM,CE4分配给FLASH等。

每个外部存储器的寻址空间大小是8MB。20根地址线即2的20次方,也就是1MB,此外由于数据总线是64位的,故对应的寻址空间是8MB

由于FPGA内部时序逻辑可以产生地址,所以我们可以不使用地址线。这样,下面的事情就简单了。只要把CE2管脚和FPGA的某一个通用IO口连上即可。

在读取FPGA内部RAM数据时告诉EDMA要读取的数据的基地址是0xA0000000,以及读取的数据的长度即可。

第二个信号,ECLKOUT,即时钟信号的。因为FPGA工作是需要时钟激励的,没有时钟信号怎么产生地址逻辑呢?此外,时钟频率不能过高,要考虑到FPGA芯片的能力。OK,因为有了同步时钟,所以EMIFA模块的工作模式也就确定了,即同步工作模式。

第三个信号,包括2个,即ASRE,ASWE。更熟悉的叫法是RE,WE。读使能和写使能。这个就不赘述了。

第四个信号,数据总线&地址总线。也不赘述了。

经过上面的分析,我们可以简要的画出FPGA与DSP的连接图:

在连接的思路清晰之后,我们可以开始配置EMIFA的寄存器了。

其实也就只有1个比较重要的寄存器,即CEnCFG。该寄存器有两套完全不同的配置。分别对应于同步存储器模式和异步存储器模式。由于FPGA内部RAM工作于同步模式,故我们来看一下同步模式下该寄存器的配置。

SSEL设置为1时,表示该CE对应同步模式的外部存储器。

在与FPGA连接时,主要考虑以下四个参数:

R_ENABLE

设置SRE/SADS管脚功能

值为 1

管脚功能为SRE,即Read Enable

值为 0

管脚功能为SADS

W_LTNCY

写延时周期

值为 00

0周期延时

值为 01

1周期延时

值为 10

2周期延时

值为 11

3周期延时

R_LTNCY

读延时周期

值为 01

1周期延时

值为 10

2周期延时

值为 11

3周期延时

读延时:当CE和RE同时为低电平后,表示DSP开始读FPGA的RAM,经过R_LTNCY个ECLKOUT周期后第一个数据出现在数据总线上

SBSIZE

数据位宽

值为 00

8位数据总线

值为 01

16位数据总线

值为 10

32位数据总线

值为 11

64位数据总线

EMIFA之CSL

使用CSL配置EMIFA模块时,主要的步骤如下:

l  1. 使能设备EMIFA模块

l  2. 配置CEnCFG寄存器

l  3. 初始化EMIFA模块

l  4. 打开EMIFA模块

l  5. 把2中配置的参数设置到打开的EMIFA模块中

完整配置代码:(把EMIFA的CE2配置为以FPGA作为外部存储器,64位数据线,2个周期的读延时)

/*-----------------------------------------------------------------------------------

*

* 初始化EMIFA

*

-----------------------------------------------------------------------------------*/

#define EMIFA_MEMTYPE_ASYNC 0

#define EMIFA_MEMTYPE_SYNC 1

#define EMIFA_CE2_BASE_ADDR (0xA0000000)//地址空间基地址

#define CSL_EMIFA_SYNCCFG_RLTNCY_PARAMETER 2//读延时2周期

#define CSL_EMIFA_SYNCCFG_SBSIZE_PARAMETER 3//64位数据总线

#define CSL_EMIFA_SYNCCFG_READEN_PARAMETER1//SRE

//CEnCFG寄存器参数宏

#define CSL_EMIFA_SYNCCFG_PARAMETER {\

(Uint8)CSL_EMIFA_SYNCCFG_READBYTEEN_DEFAULT, \

(Uint8)CSL_EMIFA_SYNCCFG_CHIPENEXT_DEFAULT, \

(Uint8)CSL_EMIFA_SYNCCFG_READEN_PARAMETER, \

(Uint8)CSL_EMIFA_SYNCCFG_WLTNCY_DEFAULT, \

(Uint8)CSL_EMIFA_SYNCCFG_RLTNCY_PARAMETER, \

(Uint8)CSL_EMIFA_SYNCCFG_SBSIZE_PARAMETER \

}

void Init_EMIF()

{

CSL_EmifaObj emifaObj;

CSL_Status status;

CSL_EmifaHwSetup hwSetup;

CSL_EmifaHandle hEmifa;

CSL_EmifaMemType syncVal;

CSL_EmifaSync syncMem = CSL_EMIFA_SYNCCFG_PARAMETER;

memset(&emifaObj, 0, sizeof(CSL_EmifaObj));

memset(&hwSetup, 0, sizeof(CSL_EmifaHwSetup));

//步骤1: 使能设备的EMIFA功能(不用先解锁外设寄存器)

CSL_FINST(((CSL_DevRegs*)CSL_DEV_REGS)->PERCFG1, DEV_PERCFG1_EMIFACTL, ENABLE);

//步骤2:配置CE2CFG寄存器

syncVal.ssel = EMIFA_MEMTYPE_SYNC;

syncVal.async = NULL;

syncVal.sync = &syncMem;

hwSetup.ceCfg[0] = &syncVal;

hwSetup.ceCfg[1] = NULL;

hwSetup.ceCfg[2] = NULL;

hwSetup.ceCfg[3] = NULL;

//步骤3:初始化EMIFA模块

status = CSL_emifaInit(NULL);

#ifdef SHOW_PRINTF

if (status != CSL_SOK) {

printf("EMIFA: Initialization error.\n");

printf("\tReason: CSL_emifaInit [status = 0x%x].\n", status);

return;

}

else {

printf("EMIFA: Module Initialized.\n");

}

#endif

//步骤4:打开EMIFA模块

hEmifa = CSL_emifaOpen(&emifaObj,CSL_EMIFA,NULL,&status);

#ifdef SHOW_PRINTF

if ((status != CSL_SOK) || (hEmifa == NULL)) {

printf("EMIFA: Error opening the instance. [status = 0x%x, hEmifa \

= 0x%x]\n", status, hEmifa);

return;

}

else {

printf("EMIFA: Module instance opened.\n");

}

#endif

//步骤5:把步骤2中配置的参数设置到打开的EMIFA模块中

status = CSL_emifaHwSetup(hEmifa,&hwSetup);

#ifdef SHOW_PRINTF

if (status != CSL_SOK) {

printf("EMIFA: Error in HW Setup.\n");

printf("Read write operation fails\n");

return;

}

else {

printf("EMIFA: Module Hardware setup is successful.\n");

}

#endif

}

emif接口速率问题_EMIF接口与FPGA的互联(转)相关推荐

  1. DSP的EMIF接口通信FPGA

    DSP通过EMIF接口与FPGA通信采集视频 背景 使用FPGA系统进行视频采集,DSP进行视频处理需要了解以下知识: 1.  DSP-C6000系列的中断与GPIO系统 2.  DSP-C6000系 ...

  2. emif接口速率问题_基于EMIF接口的双速率1553B总线设备通信方法与流程

    本发明涉及计算机总线通信 技术领域: ,尤其涉及一种基于EMIF(ExternalMemoryInterface,外部存储器接口)接口的双速率1553B总线设备通信方法. 背景技术: :某一1553B ...

  3. DSP通过EMIF接口与FPGA通信采集视频

    DSP通过EMIF接口与FPGA通信采集视频 背景 使用FPGA系统进行视频采集,DSP进行视频处理需要了解以下知识: 1.  DSP-C6000系列的中断与GPIO系统 2.  DSP-C6000系 ...

  4. UART接口的FPGA实现(一)——UART接口的相关基础知识

    UART系列文章先介绍UART的基础知识,然后自己动手写Verilog代码实现这个接口并进行测试,最后介绍Xilinx的AXI-uartlite IP核.本系列文章编写时参考了乔庐峰老师编写的Veri ...

  5. STM32F427利用FSMC接口访问FPGA的SRAM(1)—— STM32F427启动文件

    该启动文件做好后,才能进行下一步(STM32F427利用FSMC接口访问FPGA的SRAM),所以此文记录如何制作F427的启动文件. 1.参考F407探索者的资料:TFTLCD显示实验.外部SRAM ...

  6. PCIe接口在FPGA上的实现

    引言 PCI Express Base Specification Revision 3.0 PCI Local Bus Specification Revision 3.0 书籍:PCI Expre ...

  7. OMAPL138使用EMIF接口与FPGA通信

    OMAPL138中的ARM通过EMIF接口把数据写到FPGA,再由ARM从FPGA把数据读取出来:EMIF共有16位数据总线和地址总线,ARM通过总线对数据进行读写: 默认时钟144M DSP裸机读写 ...

  8. emif接口速率问题_有关TI DSP的EMIF接口的两个问题

    接口的两个问题: 地址总线不从零开始问题及寻址范围问题 作者: JBB0523 (彬彬有礼) 示例芯片: TMS320C6416 EMIF ,即 E xternal M emory I nter F ...

  9. emif接口速率问题_各种常见电脑接口的传输速率或带宽

    各种常见电脑接口的传输速率或带宽 分类:记事本 ISA: PCI: V2.1:33MHz,133MB/s V2.3:66MHz PCI-X: V1.0:64位,133MHz,1GB/s AGP: 型号 ...

最新文章

  1. SwiftUI3.0用户登录输入非空校验经典案例
  2. python中列表,元组,字符串如何互相转换
  3. bzoj 2631: tree
  4. java udp套接字_Java - UDP通过套接字发送数据..没有记录。所有数据
  5. LAMP搭建discuz
  6. 实战演练!CISCO交换机端口安全一点通 (
  7. IIS服务器应用程序不可用的解决办法
  8. Xcode10升级问题:Multiple commands produce Info.plist
  9. Java IO _打印流
  10. mdt 计算机名_MDT通过PowerShell脚本自定义变量(自定义计算机名)
  11. Windows 7 SP1 语言包下载
  12. 计算机必备专用英语词汇
  13. 数据分析师为什么能拿高薪
  14. unity学习笔记-Avpro和安卓结合
  15. 计算机基础知识统考考ppt么,(计算机应用基础统考)第一章计算机基础知识.ppt
  16. 哈利.波特与混血王子
  17. 虚拟现实房产展示系统提前预见未来装修效果
  18. 安装目录里无法找到计算机,安装软件弹出系统找不到指定的路径提示解决方法...
  19. 楼市十大卖楼花招曝光
  20. ant design分享记录-icon图标自定义菜单图标

热门文章

  1. 彭明清《完全求生手册》
  2. [附源码]Python计算机毕业设计SSM乐多多宠物店网站(程序+LW)
  3. 通过Keepalived实现金山云自建服务高可用
  4. 斐波那契数列递归算法优化
  5. 新能源汽车高歌猛进,电池盒视觉检测系统蓄势待发
  6. TCD1304DG介绍
  7. 开放式运动耳机排行榜,最值得入手的五款骨传导耳机推荐
  8. mysql varchar(50)到底能存多少个汉字
  9. 软件微信鱼虾蟹系统开发中的破窗效应
  10. redis位图法bitmap统计活跃用户