注意One part:

电路中电源VBAT须要先经过滤波电容再到芯片的VBAT引脚。

芯片时钟端走线尽量不要靠近电源走线,避免对电源走线产生纹波的干扰,需要隔离地处理。

天线走50欧姆的阻抗设计,走线两侧距离30mil处添加过孔,最好用第三层GND做为参考层(第二层相应位置的铜皮也掏空)。走线和模块应该在同一层,走线设计需要添加一个10pF耦合电容再接进芯片引脚(不用加0欧姆电阻),天线走线不能有直角(曲线布线)。天线走线需要远离时钟走线和电源。

晶振底部建议有完整地,时钟走线不能与电源和其他的信号平行,并且需要包地处理,相邻层也需要避开电源和其他信号线。
系统时钟走线,全程远离电源走线和时钟走线及信号线,全程需包地处理,芯片引脚也需要尽量和相邻引脚用地隔离。

芯片内部“Buck”电路,外接一颗 4.7uH 的功率电感,是一颗噪声源, 从XX_OUT脚出来的走线以及进到XX_IN脚走线都需要包地及多打一些过孔处理,电路设计要先经过滤波电容再回到PIN 23。且这两段走线宽度不能大于引脚焊盘宽度(和芯片引脚宽度一样即可),功率电感两个“PAD”中间需要静空处理。

(注:以上部分同时适用WiFi/BT模块设计)

注意Two part:

电路layout设计时要保证以太网PHY芯片有一个完整的地。PCB layout布局时晶振靠近以太网PHY芯片,电源VCC_3V3滤波磁珠靠近以太网PHY芯片。数据线和时钟线上的匹配电阻发送端(TXD)的靠近核心板放置,接收端(RXD)的靠近以太网PHY芯片放置。.设计时可以预留复位引脚,方便后期调试。

备注:以太网PHY芯片在上电复位期间通过读取外部电平来进行相关配置,包括工作模式、 PHY)地址等。所以网络部分一定
要参考开发板设计。PHY地址默认为 00001。

注:以上适用以太网网络模块设计

注意Three part:

为了获得具有良好稳定性的反馈电路,通常要求在反馈环外面使用一个小电阻或扼流圈给容性负载提供一个缓冲。

积分反馈电路通常需要一个小电阻(约 560 欧)与每个大于 10pF 的积分电容串联。

在反馈环外不要使用主动电路进行滤波或控制 EMC 的 RF 带宽,而只能使用被动元件(最好为 RC 电路)。仅仅在运放的开环增益比闭环增益大的频率下,积分反馈方法才有效。在更高的频率下,积分电路不能控制频率响应。

为了获得一个稳定的线性电路,所有连接必须使用被动滤波器或其他抑制方法(如光电隔离)进行保护。

使用 EMC 滤波器,并且与 IC 相关的滤波器都应该和本地的 0V 参考平面连接。

在外部电缆的连接处应该放置输入输出滤波器,任何在没有屏蔽系统内部的导线连接处都需要滤波,因为存在天线效应。另外,在具有数字信号处理或开关模式的变换器的屏蔽系统内部的导线连接处也需要滤波。

在模拟 IC 的电源和地参考引脚需要高质量的 RF 去耦,这一点与数字 IC 一样。但是模拟 IC 通常需要低频的电源去耦,因为模拟元件的电源噪声抑制比(PSRR)在高于 1KHz 后增加很少。在每个运放、比较器和数据转换器的模拟电源走线上都应该使用 RC 或 LC 滤波。电源滤波器的拐角频率应该对器件的 PSRR 拐角频率和斜率进行补偿,从而在整个工作频率范围内获得所期望的 PSRR 。

对于高速模拟信号,根据其连接长度和通信的最高频率,传输线技术是必需的。即使是低频信号,使用传输线技术也可以改善其抗干扰性,但是没有正确匹配的传输线将会产生天线效应。

由于大部分的辐射是由共模电压和电流产生的,并且因为大部分环境的电磁干扰都是共模问题产生的,因此在模拟电路中使用平衡的发送和接收(差分模 式)技术将具有很好的 EMC 效果,而且可以减少串扰。平衡电路(差分电路)驱动不会使用 0V 参考系统作为返回电流回路,因此可以避免大的电流环路,从而减少 RF 辐射。

比较器必须具有滞后(正反馈),以防止因为噪声和干扰而产生的错误的输出变换,也可以防止在断路点产生振荡。不要使用比需要速度更快的比较器(将 dV/dt 保持在满足要求的范围内,尽可能低)。

有些模拟 IC 本身对射频场特别敏感,因此常常需要使用一个安装在 PCB 上,并且与 PCB 的地平面相连接的小金属屏蔽盒,对这样的模拟元件进行屏蔽。注意,要保证其散热条。

注意For part:

HPOUTL(耳机左声道输出)、 HPCOM(耳机参考输出)、 HPCOMFB(耳机反馈参考输入)、 HPOUTR(耳机右声道输出) 并行走线并要求包地; HPOUTL、 HPOUTR 布线在两旁, HPCOM、 HPCOMFB走线布在中间,整组包地。
HPOUTL、 HPOUTR 如果做直接耦合的方式输出,需要把 HPCOMFB、 HPCOM 这两个引脚拉一个对地电容。

(注:以上同时适用耳机音频模块,(AUDIO CODEC)音频接口设计)

注意Five part:

差分信号线 TXP、 TXM、 RXP、 RXM 的串接电容必须靠近接口摆放,要求尽量走在表层,保证走线相邻层必须有连续完整的参考平面,并且参考平面没有被分割。

TXP、 TXM、 RXP、 RXM 始终保证差分并排走线,差分阻抗为 100 欧,走线不能分叉;拐角的角度需保证大于 135 度;保证信号走线的长度控制在 4000mil 以内,走线的过孔不超过 2 个。

建议优先考虑 TXP、 TXM、 RXP、 RXM 走线,并与其他信号的间距大于 10mil(尽量3W原则),且避免走线走在器件的下面或者与其他信号交叉。

==========================
SATA(Serial ATA)口的硬盘也称串口硬盘,SATA硬盘接口很小,连接线小巧,串行接口有更好的数据检验方式,具有结构简单、支持热插拔的优点,同时信号电压低可以有效的减少各种干扰。

实际上,Serial ATA 仅用四支针脚就能完成所有的工作,分别用于连接电缆、连接地线、发送数据和接收数据,同时这样的架构还能降低系统能耗和减小系统复杂性。

SATA硬盘它具有更快的外部接口传输速度,数据SATA1.0规范规定的标准传输率可以达到150MB/S,这比最新的并行ATA(即ATA/133)所能达到133MB/s的最高数据传输率还高,而在Serial ATA 2.0的数据传输率将达到300MB/s,最终SATA将实现600MB/s的最高数据传输率。

==================

(注:以上同时适用SATA硬盘接口设计)

注意Six part:

芯片热焊盘需要接地,用来更好的保证信号的完整性。芯片外围滤波电容靠近芯片放置,晶振靠近芯片放置。

USB 的 DN、 DP 尽量表层走线,保证走线相邻层有连续完整的参考平面,并且参考平面没有被分割。

USB 信号走差分线,阻抗为 90 欧,走线不能分叉,拐角的角度需要保证大于 135 度;保证 USB 走线长度控制在 4000mil 以内,走线过孔不超过两个。

差分信号线为高速信号线,并接的 TVS 要求低容值,否则影响数据传输,以小于或等于 4pF 为宜。对 TVS器件部分,为了得到较好的ESD防护能力, TVS 器件需要靠近 USB 座子摆放。

DN、 DP 连线要与 USB SOCKET 一一对应,不要接反。
USBDM = USB Data Minus,USB数据负信号;
USBDP = USB Data Positive,USB数据正信号
DM是USB的数据线D(白色线);DP是USB的数据线D+(绿色线)。
(注:以上同时适用USB HOST接口设计)

注意Seven part:

TF卡/SD卡

CLK 串接电阻靠近主控摆放,串阻与主控 CLK 连接走线距离≤300mil。ESD 器件靠近 Device 摆放。

卡座背面禁止放置插装器件,防止插装器件管脚干涉卡拔插。
信号走线要求:走线阻抗 50 欧;线间距不小于 2 倍线宽;D0~D3 相对 CLK 等长控制<500mil;

信号走线参考平面完整。CLK 做包地处理,包地通过过孔与 GND 平面连接。如果不能包地则保持线间距≥3 倍线宽。走线尽量避开高频信号。

对SDIO总线:D0-D3数据信号线和CMD命令信号线,走线尽量要平行等长, 走线长度相差控制在 30mil 以内, 并且远离其他电源和时钟走线,CLK时钟走线是高频走线,全程需要包地处理,不能与信号线平行走线
…========
SDIO总线:
SDIO总线和USB总线类似,SDIO也有两端,其中一端是HOST端,另一端是Device端。所有的通信都是由HOST端发送命令开始的,Device端只要能解析命令,就可以相互通信。
CLK信号:HOST给DEVICE的时钟信号.每个时钟周期传输一个命令或数据位。
CMD信号:双向的信号,用于传送命令和反应。
DAT0-DAT3信号:四条用于传送的数据线。
VDD信号:电源信号。
VSS1,VSS2:电源地信号。
nCD:用于检测卡是否插入。
····=========================

(注:以上同时适用TF卡&SD卡接口设计)

注意Eight part:
LCD&LVDS 液晶显示接口

四线电阻触摸不能作为普通的 ADC 使用,LCD_CLK 建议预留滤波电容, LCD_PWM 建议预留 10K 电阻下拉,数据线串联 33Ω电阻建议预留。

如果设计考虑 LCD 与 LVDS 功能复用,布线可以采用差分走线。而单一用 LCD 功能,可以按单端走线处理,尽量满足3W 原则。 CLK 要做包地处理,同时注意包地打孔。阻抗要求:单端 50 欧姆,差分 100 欧姆。

LVDS 差分走线要求:
1080P:差分对内长度 10mil 内,差分线对之间的长度差 180mil 内。
720P:差分对内长度 20mil 内,差分对之间的长度差 450mil 内。
阻抗要求:单端 50 欧姆,差分 100 欧姆。
The LCD differential line impedance is 100 ohms and the single-ended impedance is 50 ohms

(注:以上同时适用液晶显示设计)

注意Nine part:
如果芯片内部集成有TV Decoder(TVD)和 TV Encoder(TVE);TVD是 将 CVBS(视频信号) 转为 YUV 数据;TVin有4 路 CVBS 输入或者 1 路 YPbPr + 1 路 CVBS 输入;,TVout有4 路 CVBS 输出,支持 NTSC 和 PAL;

设计要求走线及过孔远离高速信号,远离高速信号的过孔;端接电阻贴近连接器放置;
模拟摄像头供电电源需带共模抑制功能,否则将会影响 TVOUT 的显示效果。

设计中TVIN 、TVOUT 信号线采用 37.5ohm 阻抗匹配,要求TVIN 信号线采用 GND-TVIN 包围网络,以及TVOUT 信号线需要参考完整地平面。

GND_TVIN 的回流路径注意要避开 GND 的回流路径,走线及过孔远离高速信号,远离高速信号的过孔,还要求单独分配一片覆铜。

(注:以上同时适用 TV 接口(RF射频输入)设计)

注意Ten part:

Layout 时 ESD 器件靠近 HDMI 插座;
HDMI 座子以及信号走线的路径上,避免出现其他任何走线或者电源板块;HDMI 的差分信号线做等长差分走线,差分线包地,差分阻抗要求100ohm±10%;差分对间保证 3W 以上的距离;

HDMI 信号线长度小于 3000mil,差分线应该尽量顺直的从核心板并行走向 HDMI 座子,不要刻意为了追求等长走“蛇形线”,需要保证 HDMI 差分线对内等长误差小于 200mil,对间等长误差小于 1000mil;差分走线过孔不超过 2 个。

(注:以上同时适用HDMI接口设计)

。。。。。。。。。。。。。。。

补充:此外设计中如果含有接触器、继电器、按键等器件时,此时必须采用RC吸收电路来吸收放电电流。电阻R一般选择1-2KΩ;电容C选择2.2~4.7uF;一般的10pF左右电容用来滤除高频的干扰信号;0.1uF左右的用来滤除低频的纹波干扰,同时还可以起到稳压的作用。

学习笔记五:电路设计需要注意的那些事相关推荐

  1. FPGA学习笔记——分频电路设计

    FPGA学习笔记--分频电路设计 发布时间:2015-10-3023:29:52 分频就是用一个时钟信号通过一定的电路结构变成不同频率的时钟信号,这里介绍一下整数分频电路的设计方法.整数分频电路有偶数 ...

  2. python函数是一段具有特定功能的语句组_Python学习笔记(五)函数和代码复用

    本文将为您描述Python学习笔记(五)函数和代码复用,具体完成步骤: 函数能提高应用的模块性,和代码的重复利用率.在很多高级语言中,都可以使用函数实现多种功能.在之前的学习中,相信你已经知道Pyth ...

  3. Ethernet/IP 学习笔记五

    Ethernet/IP 学习笔记五 Accessing data within a device using a non-time critical message (an explicit mess ...

  4. StackExchange.Redis学习笔记(五) 发布和订阅

    StackExchange.Redis学习笔记(五) 发布和订阅 原文:StackExchange.Redis学习笔记(五) 发布和订阅 Redis命令中的Pub/Sub Redis在 2.0之后的版 ...

  5. 吴恩达《机器学习》学习笔记五——逻辑回归

    吴恩达<机器学习>学习笔记五--逻辑回归 一. 分类(classification) 1.定义 2.阈值 二. 逻辑(logistic)回归假设函数 1.假设的表达式 2.假设表达式的意义 ...

  6. 好程序员教程分析Vue学习笔记五

    好程序员教程分析Vue学习笔记五,上次我们学习了Vue的组件,这次我们来学习一下路由的使用.在Vue中,所谓的路由其实跟其他的框架中的路由的概念差不多,即指跳转的路径. 注意:在Vue中,要使用路由, ...

  7. 【AngularJs学习笔记五】AngularJS从构建项目开始

    为什么80%的码农都做不了架构师?>>>    #0 系列目录# AngularJs学习笔记 [AngularJs学习笔记一]Bower解决js的依赖管理 [AngularJs学习笔 ...

  8. ROS学习笔记五:理解ROS topics

    ROS学习笔记五:理解ROS topics 本节主要介绍ROS topics并且使用rostopic和rqt_plot命令行工具. 例子展示 roscore 首先运行roscore系列服务,这是使用R ...

  9. Spring Boot 框架学习笔记(五)( SpringSecurity安全框架 )

    Spring Boot 框架学习笔记(五) SpringSecurity安全框架 概述 作用 开发示例: 1. 新建项目 2. 引入依赖 3. 编写`SecurityConfig`类,实现认证,授权, ...

  10. Java学习笔记(五):一张图总结完JVM8基础概念

    Java学习笔记(五):一张图总结完JVM8基础概念 引文 最近在学习JVM的相关内容,好不容易把基础概念全部都学了一遍,却发现知识网络是零零散散的.迫不得已,只好再来一次总的归纳总结.为了更好的理解 ...

最新文章

  1. margin和padding
  2. Swish激活 hswish激活
  3. java maven compiler设置默认1.8
  4. linux I/O--五种I/O模型(一)
  5. 【转】Android BroadcastReceiver介绍
  6. 680. 验证回文字符串 Ⅱ golang
  7. [机器学习-sklearn] KNN(k近邻法)学习与总结
  8. mysql 查看连接_怎么实时查看mysql当前连接数
  9. 怎么解决vs2017一把鼠标放在Mat类上就卡死的问题
  10. Ubuntu18.04 + anaconda3 +python3.6+ 安装labelImg 标注
  11. c++ascii表_几道和散列(哈希)表有关的面试题
  12. c语言关键字c11,_Generic关键字及其语法和应用(C11标准),C语言_Generic详解
  13. ad20drc错误_AD错误中英文对照
  14. 问题记录1:网络和共享中心打不开的解决办法
  15. FastDFS是如何解决数据一致性问题的?
  16. 阿里云部署视频点播:学习文档使用Java程序部署视频点播基础用法之入门
  17. java excel 超链接_Java 添加超链接至Excel文档
  18. mysql的ndb引擎_NDB Cluster (分布式存储引擎)存储引擎简单简介及Mysql cluster的实现...
  19. CSS(层叠样式表cascading style sheet)
  20. 风速传感器的安装要求是什么?安装要注意这三点

热门文章

  1. processing
  2. 《央视影音》用户体验报告
  3. 解决zadig装错驱动导致电脑鼠标用不了的情况
  4. 锐能微RN8302/RN8302B电表芯片应用
  5. 熟悉的时间,坚持就是胜利!
  6. iOS开发中文件管理者NSFileManager的使用,收藏使用!
  7. 在瑞典当码农 :在家上班?不加班?能干到60岁?
  8. EasyUI1.11.1 出现错误: TypeError: _2ad is undefined if (_2ad.onClose) {
  9. Android自动化打包部署及配置文档
  10. 【图像识别】基于matlab实现步态周期检测与步态角度特征