错误信息如下:

[DRC NSTD-1] Unspecified I/O Standard: 102 out of 102 logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT’, instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: dout_ch1[23:0], dout_ch2[23:0], dout_ch3[23:0], dout_ch4[23:0], clk, dout_valid_ch1, dout_valid_ch2, dout_valid_ch3, dout_valid_ch4, and rstn.

[DRC UCIO-1] Unconstrained Logical Port: 102 out of 102 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: dout_ch1[23:0], dout_ch2[23:0], dout_ch3[23:0], dout_ch4[23:0], clk, dout_valid_ch1, dout_valid_ch2, dout_valid_ch3, dout_valid_ch4, and rstn.

刚开始很奇怪,因为这些报错的信号在bd中是中间信号(如下图),我也没有给它们创建port,这些vivado却要给它们分配引脚,打开IO ports窗口,发现它们都被随机分配了引脚,这是怎么回事呢?

百度发现,有很多人遇到了这个问题,解决方法也记录在Xilinx官网中:

Vivado write_bitstream - ERROR: [Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - X out of Y logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT’, instead of a user assigned specific value

解决方案是对vivado进行设置,让其忽略这些未在约束中分配引脚的信号。这样设置之后可以生成比特流了。

但是这个错误是怎么产生的呢?经过几个小时的折腾,我发现Source中的Top不知道什么时候被改变了,应该是wrapper才对啊,原来是将一个中间文件设为了Top,那当然vivado要给它的端口信号分配引脚了,原来如此!

Top改为wrapper后,生成比特流就不出错了!

记录一下,小问题查起来也很花时间。

Vivado生成bitstream报错,DRC NSTD-1与DRC UCIO-1]相关推荐

  1. Vivado设计DDR3接口生成bit报错解决

    1.1 Vivado设计DDR3接口生成bit报错解决 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Vivado设计DDR3接口生成bit报错解决: 5)结束语. 1 ...

  2. laravel 使用passport 生成token 报错 Replicating claims as headers is deprecated and will removed

    laravel 使用passport 生成token 报错 Replicating claims as headers is deprecated and will removed** // 创建没有 ...

  3. VS2017生成解决方案报错,提示对路径的访问被拒绝

    VS2017生成解决方案报错,提示对路径的访问被拒绝 参考文章: (1)VS2017生成解决方案报错,提示对路径的访问被拒绝 (2)https://www.cnblogs.com/liuyoung/p ...

  4. 记Vivado使用,报错记录本

    /*************************************************************************************************** ...

  5. Web Service 自动生成代码报错

    案例 1 生成时报错:WSDL file has validation errors. Code generation may fail 启动报错: Caused by: javax.xml.ws.W ...

  6. Modelsim编译Vivado仿真库报错(vlog-12110)

    Vivado仿真器版本支持 首先查阅xilinx官方文档ug900(v2019.2),里面指出了vivado2019.2所支持的不同平台的仿真器版本.对于其他版本的vivado或者最新的vista,应 ...

  7. Java使用File类生成文件报错:java.io.FileNotFoundException: C:\... (拒绝访问。)

    今天在手写动态代理的时候使用File类创建文件报错:java.io.FileNotFoundException: C:\... (拒绝访问.) public class Test {public st ...

  8. 在请求中使用XML Publisher生成文件报错

    在页面上使用按钮生成该文件不报错,但是使用请求就报错. 错误内容如下 Error : No corresponding LOB data found :SELECT L.FILE_DATA FILE_ ...

  9. react native生成APP报错:You have not accepted the license agreements of the following SDK components:

    今晚因为这个问题,加班到晚上11点都没搞出来.实在是气愤,幸好回来查找资料,终于找到了罪魁祸首. 一.报错信息 * What went wrong: A problem occurred config ...

最新文章

  1. iOS 用自签名证书实现 HTTPS 请求的原理实例讲解
  2. C# access update 出错总结,注意事项
  3. Mybatis中的@Param注解
  4. 切糕(bzoj 3144)
  5. C语言合并排序实例代码
  6. MyEclipse 10, 2013, 2014 破解、注册码
  7. SpringCloud工作笔记081---SpringCloud Hystrix Turbine(断路器聚合监控)的基本使用
  8. 枯燥编程加奇炫美术 —— 看育碧 Ubisoft 如何开发游戏大作
  9. Python入门--python中的global
  10. java线性表多个属性_顺序存储结构的线性表(java实现)
  11. 打印DPI如何与计算机DPI一致,像素英寸与dpi的那些事儿
  12. 时间日期插件引用使用方法
  13. LLJ-F(S)系列漏电继电器
  14. 将图像DN值定标维热辐射强度之后,可用Planck函数求解出星上亮度温度
  15. Jmeter介绍与使用
  16. Java8新特性——Optional 类
  17. 【矩阵论笔记】Hermit标准型
  18. memtester4.3.0 方法与 ARM A53 移植
  19. i3 10110u和r5 4500u 哪个好
  20. MySql高级(二)

热门文章

  1. ECCV 2020《TRRNet: Tiered Relation Reasoning for Compositional Visual Question Answering》论文笔记
  2. 2020-07-14 CVPR2020 i3DV论文讨论(4) 笔记
  3. python语言设计简单计算器_Python 设计一个简单的计算器-Go语言中文社区
  4. solidworks钣金插件_SolidWorks钣金三圆弧交点如何展开?大神和小白请进
  5. 20220401 从解方程角度看什么是线性系统的能控与能观
  6. 【转载】redis开启远程访问
  7. UTF-8编码的字符串拆分成单字、获取UTF-8字符串的字符个数的代码及原理(c++实现)...
  8. 后台服务器控件点击跳转另一页面显示本页面
  9. 《ASCE1885的源码分析》の基于完成端口模型的TCP服务器框架
  10. tp3.2路由表达式支持的正则定义必须以“/”开头