选择实验板为00ic_DSP_TMS320F28335,仿真器为100v3,编译器为ccsv6.1

关于28335的DA输出模块,主要硬件由DSP和TLV5620组成,DSP提供了SIMO和SCLK、C3TRIP 信号给TLV5620,且C3TRIP信号是用来做片DAC信号的更新和锁 **
存的,这里大家要参照我们所提供的TLV5602的手册,4个信道 的DAC输出分别引了出来,方便大家来检测.这里还要说明的一点的是我们用了其中的两个信道DACA和DACB作为ADC的输入,  大家看电路便知。

实验结果:用万用表测试TLV5620的输出电压值0.8,然后输出的电压通过ACINA1转换后,通过观察发现Vin=0.8V

//    As supplied, this project is configured for "boot to SARAM"
//    operation.  The 2833x Boot Mode table is shown below.
//
//       $Boot_Table:
//
//         GPIO87   GPIO86     GPIO85   GPIO84
//          XA15     XA14       XA13     XA12
//           PU       PU         PU       PU
//        ==========================================
//            1        1          1        1    Jump to Flash
//            1        1          1        0    SCI-A boot
//            1        1          0        1    SPI-A boot
//            1        1          0        0    I2C-A boot
//            1        0          1        1    eCAN-A boot
//            1        0          1        0    McBSP-A boot
//            1        0          0        1    Jump to XINTF x16
//            1        0          0        0    Jump to XINTF x32
//            0        1          1        1    Jump to OTP
//            0        1          1        0    Parallel GPIO I/O boot
//            0        1          0        1    Parallel XINTF boot
//            0        1          0        0    Jump to SARAM       <- "boot to SARAM"
//            0        0          1        1    Branch to check boot mode
//            0        0          1        0    Boot to flash, bypass ADC cal
//            0        0          0        1    Boot to SARAM, bypass ADC cal
//            0        0          0        0    Boot to SCI-A, bypass ADC cal
//                                              Boot_Table_End$#include "DSP2833x_Device.h"     // DSP2833x Headerfile Include File
#include "DSP2833x_Examples.h"   // DSP2833x Examples Include File/*这里使用了宏定义来控制更新锁存信号的功能,重点就是在时序上*/
#define SetLOAD GpioDataRegs.GPADAT.bit.GPIO17=1;  //将LOAD置高
#define ClrLOAD GpioDataRegs.GPADAT.bit.GPIO17=0;  //将LOAD置低void WriteDAC(unsigned char add,unsigned char rng,unsigned char vol);
void delay(unsigned int t);
void spi_xmit(Uint16 a);
void spi_fifo_init(void);
void spi_init(void);// ADC start parameters
#define ADC_MODCLK 0x5   // HSPCLK = SYSCLKOUT/2*ADC_MODCLK2 = 150/(2*4)             = 15MHz//    for 60 MHz devices:    HSPCLK =  60/(2*4)             = 7.5 MHz
#define ADC_CKPS   0x1   // ADC module clock = HSPCLK/2*ADC_CKPS   = 15MHz/(1*2)   = 7.5MHz#define ADC_SHCLK  0xf   // S/H width in ADC module periods                          = 16 ADC clocks
#define AVG        100  // Average sample limit
#define ZOFFSET    0x00  // Average Zero offset
#define BUF_SIZE   2048  // Sample buffer size// Global variable for this example
Uint16 SampleTable[BUF_SIZE];
float Vin=0;void main(void)
{   int temp;Uint16 i;Uint32 Sum=0;/*初始化系统*/InitSysCtrl();// Specific clock setting for this example:EALLOW;SysCtrlRegs.HISPCP.all = ADC_MODCLK;  // HSPCLK = SYSCLKOUT/(2*ADC_MODCLK)=15MHZEDIS;/*初始化GPIO;*/InitSpiaGpio();///初始化IO口EALLOW;GpioCtrlRegs.GPAMUX2.bit.GPIO17 = 0; // 配置GPIO17为GPIO口GpioCtrlRegs.GPADIR.bit.GPIO17 = 1;      // 定义GPIO17输出引脚GpioCtrlRegs.GPAPUD.bit.GPIO17 = 0;      // 禁止上啦 GPIO17引脚EDIS;/* 关中断 */DINT;IER = 0x0000;IFR = 0x0000;/* 初始化PIE控制寄存器 */InitPieCtrl();/* 初始化PIE参数表 */InitPieVectTable();    // 步骤 4.初始化片内外设:InitAdc();  // For this example, init the ADC///初始化SPIspi_init();         // 初始化SPIEINT;    // Enable Global interrupt INTMERTM;        // Enable Global realtime interrupt DBGM// Specific ADC setup for this example:AdcRegs.ADCTRL1.bit.ACQ_PS = ADC_SHCLK;//设置采样窗口时间:(15+1)*ADCCLKAdcRegs.ADCTRL3.bit.ADCCLKPS = ADC_CKPS;//ADC内核时钟分频:HSPCLK/2=6.25MHZAdcRegs.ADCTRL1.bit.SEQ_CASC = 1;        // 1选择级联模式AdcRegs.ADCCHSELSEQ1.bit.CONV00 = 0x1;  //通道选择ADCAIN1AdcRegs.ADCTRL1.bit.CONT_RUN = 1;       // 设置为连续运行// 采样表清0for (i=0; i<BUF_SIZE; i++){SampleTable[i] = 0;}SetLOAD;   //把刷新锁存控制信号拉高temp=47;//REF=2.2V;VO(DACA|B|C|D) =REF* CODE/256WriteDAC(0,0,temp);     //0.4VWriteDAC(1,0,temp*2); //0.8VWriteDAC(2,0,temp*3); //1.2VWriteDAC(3,0,temp*4); //1.6V// 软件启动SEQ1AdcRegs.ADCTRL2.all = 0x2000;for (i=0; i<AVG; i++){while (AdcRegs.ADCST.bit.INT_SEQ1== 0) {} // 等待中断AdcRegs.ADCST.bit.INT_SEQ1_CLR = 1;SampleTable[i] =((AdcRegs.ADCRESULT0>>4) );}for (i=0;i<AVG;i++){Sum+=SampleTable[i];Sum=Sum/2;}//输入电压和AD值之间的关系Vin/Sum=3/4096;Vin=(float)(Sum*3)/4096;      //采样的电压值delay(1500);  //在此设断点,观察变量Vin的值,另外可以用万用表直接测量ADCA1电压值}     void WriteDAC(unsigned char add,unsigned char rng,unsigned char vol)
{   unsigned short int data;data=0x0000;///大家要知道这里所定义的各个变量的含义,add是4个通道的地址(00,01,10,11)///                                     RNG是输出范围的倍数,可以是0或1。///                                     VOL是0~256数据data = ((add<<14) | (rng<<13) | (vol<<5));//注意这里的有效数据是11位,SPI初始化中也进行了定义while(SpiaRegs.SPISTS.bit.BUFFULL_FLAG ==1);          //判断SPI的发送缓冲区是否是空的,等于0可写数据SpiaRegs.SPITXBUF = data;    //把发送的数据写如SPI发送缓冲区while( SpiaRegs.SPISTS.bit.BUFFULL_FLAG==1);        //当发送缓冲区出现满标志位时,开始琐存数据delay(1500);//同通过一负跳变琐存要发送的数据,看TLV5620数据手册即可得知ClrLOAD;delay(150);SetLOAD;delay(1500);
}void delay(unsigned int t)
{while(t>0)t--;
}
//初始化SPI函数
void spi_init()
{    SpiaRegs.SPICCR.all =0x0a;///进入初始状态,数据在上升沿输出,自测禁止,11位数据模式SpiaRegs.SPICTL.all =0x0006; // 使能主机模式,正常相位,使能主机发送,禁止接收//溢出中断,禁止SPI中断;SpiaRegs.SPIBRR =0x0031; //SPI波特率=37.5M/50  =0.75MHZ;                           SpiaRegs.SPICCR.all =0x8a; //退出初始状态; SpiaRegs.SPIPRI.bit.FREE = 1;  // 自由运行
}//===========================================================================
// No more.
//===========================================================================

下一个实验希望可以实现eQEP采集光栅数据,DA输出控制电压。

DSP28335软件实验研究--DA_AD模块功能详解相关推荐

  1. KBQA_多轮对话——模型源码解析(一)Pickle模块功能详解

    KBQA_多轮对话--模型源码解析(一)Pickle模块功能详解 pickle --- Python 对象序列化的基本功能 1.pickle基本概念 2.pickle 与 json 模块的比较 3.p ...

  2. 【产品】智能数据建模软件 - DTEmpower 2022R2版本新功能详解

    DTEmpower是由天洑软件自主研发的一款通用的智能数据建模软件,提供了从模型搭建到模型管理应用的一站式解决方案,让用户可以聚焦于业务而不是疲于数据分析,充分发挥数据的价值.相比于上一个版本,DTE ...

  3. IP-Guard十六个模块功能详解

    V+全向文档加密:   文档透明加密 以高效而可靠的加密技术将Office.AutoCAD.Photoshop等各类常见电子文档自动强制加密,用户没有被授权使用相关的文档格式或者文档离开授信使用环境即 ...

  4. 智慧成铁显示无法连接服务器是怎么回事,智慧成铁是什么软件?智慧成铁职工app功能详解[图]...

    类型:商务办公 大小:40.29MB 评分:10 平台: 智慧成铁是一款不错的成都铁路职工工作软件!目前安卓版已经上线了,小伙伴们可以点击文章上方的立即下载,不少朋友对于智慧成铁app还不太了解,赶紧 ...

  5. AI 绘画Stable Diffusion 研究(五)sd文生图功能详解(下)

    大家好,我是风雨无阻. 上一篇文章详细介绍了sd文生图的功能及使用注意事项,感兴趣的朋友可以前往查看:AI 绘画Stable Diffusion 研究(四)sd文生图功能详解(上) . 那今天这篇文章 ...

  6. 短信平台专业版软件客户端功能详解源码搭建|移讯云短信系统

    国际短信平台专业版软件客户端功能详解|移讯云短信系统 首页显示 剩余条数 充值总数 提交总数 成功数量 失败数量 未知数量 代发数量 签名数量 最新提交 平台公告 API接口文档 短信发送 发送短信选 ...

  7. 国际短信系统平台后台功能详解-移讯云短信软件

    国际短信系统平台后台功能详解-移讯云短信软件   后台登陆首页显示 ⦁    用户总量记录: 显示总使用户数量 ⦁    待审核账号数量记录: 等待审核的新用户账号数量 ⦁    待审核签名数量记录: ...

  8. 采购管理软件最好的哪个?采购查询功能详解

    软件服务交付的速度和准确性是考量的一个重要标准,软件开发公司的后续服务也直接影响使用者的体验,那么选择一款采购管理软件呢?哪一个比较好呢?下面,给大家介绍一下一款采购管理软件,以及它完善的采购查询功能 ...

  9. 好用的CAD看图软件功能详解分析

    CAD制图工作中,我们都知道需要查看编辑等很多操作,这里有CAD看图软件,好用的CAD功能详解分享,提供6种基本看图模式,3种三维看图方法,6种CAD图纸编辑功能,加上3种CAD转换功能,且完全免费. ...

最新文章

  1. Linux系统结构学习
  2. Linux 命令之 userconf -- 设置用户账号
  3. mybatis学习(25):分页3 多参数传递(使用map)
  4. 5个实用提速深度学习模型的方法
  5. 简单nginx+tomca负载均衡
  6. java 鸡尾酒排序_Java实现几种常见排序方法
  7. 在linux上ansi格式转换换utf-8格式会乱码吗?,c++对编码格式ANSI utf8 unicode 进行转换...
  8. CodeForces - 93B(贪心+vectorpairint,double +double 的精度操作
  9. unrecognized selector sent to instance
  10. make_heap(), pop_heap(), push_heap()用法
  11. pr剪辑视频转码问题以及子剪辑
  12. SMT32的RTC的晶振为什么是32768Hz
  13. Clonezilla制作镜像、恢复
  14. POJ - 1625 Censored!
  15. 拉卡拉前三季度净利润7.35亿元 继续保持高速增长态势
  16. macOS中快速打开终端
  17. 「干货」项目经理工作流程23步,步步惊心
  18. 重复登录问题解决的方案汇总
  19. 电脑启动时出现0xc000014c错误怎么办?
  20. 学弟学妹要的Java学习路线我终于写完了

热门文章

  1. 在线下单系统think php,昱杰订单管理系统(ThinkPHP版) v19.0
  2. Kubernetes原生api部署微服务5-监听Pod
  3. android 配置aspect_Android全面屏适配
  4. android与iPhoneX区别,iphone8plus和iphonex区别 买iphone8plus还是iphonex好
  5. 大数据计算成都峰会—开启西南大数据新洞察
  6. 2022.07.25 学习笔记
  7. vim/vi中的光标键会变成a,b,c,d的解决办法
  8. 微信活码应用 淘宝购物好评返现卡
  9. 裸金属服务器性能描述,裸金属服务器性能描述
  10. HowToDoIt 开源框架爱好者组织发布第一份项目总结