前面的课程都是用arduino控制一个器件,要么亮,要么暗。在本课中,您将进行一个简单但有趣的实验 – 使用LED来创建流动的LED灯。顾名思义,这些流动的灯由连续八个LED连续点亮并逐渐变暗,就像流动的水一样。

二、材料准备

1 * Arduino Uno板

1 *面包板

若干杜邦线

8 * LED

8 *电阻(220Ω)

1 * USB数据线

三、原理讲解

本实验的原理只是依次打开八个LED。

四、实验步骤

步骤1:建立电路

原理图

步骤2:编写程序

本节课代码:

//***************************************

//LED流水灯

/* 八个LED将从左到右逐个点亮,然后从右到左逐个出去。

之后,LED从右到左依次点亮,然后从左到右逐个出去。

*/

const int lowestPin = 2;//最低的一个连接到引脚2

const int highestPin = 9;//最高的一个连接到引脚9

/**************************************/

void setup()

{

//s设置引脚2到9作为输出

for (int thisPin = lowestPin; thisPin <= highestPin; thisPin++)

{

pinMode(thisPin, OUTPUT); ///初始化thisPin作为输出

}

}

/****************************************/

void loop()

{

//在引脚上迭代

//将引导从最低到最高,使用for循环依次点亮LED

for (int thisPin = lowestPin; thisPin <= highestPin; thisPin++)

{

digitalWrite(thisPin, HIGH); //LED亮

delay(100);//等待100 ms

}

//从最高到最低

for (int thisPin = highestPin; thisPin >= lowestPin; thisPin--)

{

digitalWrite(thisPin, LOW); //转动引导

delay(100);//等待100 ms

} for (int thisPin = highestPin; thisPin >= lowestPin; thisPin--)

{

digitalWrite(thisPin, HIGH);

delay(100);//等待100 ms

} for (i

步骤3:编译代码

步骤4:将程序上传到Arduino Uno板

现在,您应该看到八个LED从左到右依次亮起,然后从右到左依次变暗。之后,LED将从右到左点亮,从左到右变暗。这个整个过程将重复,直到电路断电。

流水灯verilog实验原理_流水灯实验相关推荐

  1. 流水灯verilog实验原理_6个简单的儿童科学实验,培养孩子的创造力和发散思维...

    你家孩子是不是比一般孩子更为好奇,会把不寻常的想法联系起来,有时可能还显得有点极端,甚至有些叛逆?别担心,这或许正是孩子的"创造力"特征的体现,千万别抹杀了. 心理学家给如何培养孩 ...

  2. 流水灯verilog实验原理_IC设计实例解析之“流水线技术”

    源自:微信公众号 "数字芯片实验室" 在IC设计中,如果寄存器之间的组合逻辑延时过大,可能会称为设计中的关键路径,从而降低整个电路的工作频率. 如下图所示,是一个输入和输出寄存的算 ...

  3. [Keil][Verilog][微机原理] 流水灯、存储器、外部中断实验_北京邮电大学计算机原理与应用课程实验报告

    计算机原理与应用实验-流水灯.存储器.外部中断实验 1 实验一 流水灯实验 1.1 实验目的 掌握ARM开发工具的使用. 掌握基本IO的使用. 1.2 实验原理及内容 电路结构图 实现流水灯的电路结构 ...

  4. c语言编程流水灯与交通灯实验,C51单片机实验报告_流水灯_交通灯_定时器_双机交互_时钟.doc...

    C51单片机实验报告_流水灯_交通灯_定时器_双机交互_时钟 学 号: 班 级: 自动化10班 姓 名: 张 指导老师: 胡 2012.12 单片机核心板实验要求 流水灯实验 实验目的: 简单I/O引 ...

  5. verilog 8位流水灯_用单片机控制的LED流水灯设计

    1.引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度被单片机智能控制系统所取代.单片机具有体积小.功能强.成 ...

  6. (98)Verilog HDL:流水灯设计

    (98)Verilog HDL:流水灯设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:流水灯设计 5)结语 1.2 FPGA简介 FPGA( ...

  7. 如何写好状态机?跑马灯/流水灯Verilog HDL实现

    如何写好状态机 很多初学者不知道何时应用状态机.这里介绍两种应用思路:第一种思路,从状态变量入手.如果一个电路具有时序规律或者逻辑顺序,我们就可以自然而然地规划出状态,从这些状态入手,分析每个状态的输 ...

  8. c语言流水灯定时器延时,实现流水灯以间隔500ms的时间闪烁(系统定时器SysTick实现的精确延时)...

    /** ****************************************************************************** * @file main.c * ...

  9. 单片机c语言 流水灯 教案,单片机控制流水灯讲课教案.doc

    单片机控制流水灯 前言 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯不断变化闪烁.LED灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市 ...

最新文章

  1. Visual Studio开发必备:扩展工具应用
  2. 2019.3.1版本pycharm撤销及恢复图解
  3. Table accessed during One order advanced search
  4. MongoDB数据库基本操作笔记
  5. (二)Cypher语言常用方法举例
  6. 1102 Invert a Binary Tree(甲级)
  7. button按钮绑定回车事件
  8. lsof查找放进linux回收站中的删除文件,并彻底删除或恢复文件
  9. 3.5 RNN 字符级语言模型
  10. eclipse调试linux内核,Ubuntu下使用Eclipse+CDT+UML调试linux内核
  11. MQTT教程(一):MQTT简介
  12. java tcp连接硬件_SocketTool工具(模拟开发板)和基于socket的java服务器(TCP/IP协议)开发...
  13. 艺术科技杂志艺术科技杂志社艺术科技编辑部2022年第4期目录
  14. iTween基础之iTweenPath(自定义路径移动)
  15. 悲观者往往正确,乐观者往往成功
  16. 温故而知新:北桥和南桥
  17. 【uni-app】uni-app实现聊天页面功能——功能篇(下)
  18. 判断闰年的Matlab/Python函数
  19. 初中微型计算机基础评课,初中语文组评课稿---《台阶》
  20. python多线程、多进程

热门文章

  1. 理解矩阵,矩阵背后的现实意义 [转]
  2. java两种不同单例模式_关于Java里的两种单例模式
  3. android申请多个运行时权限,Android 6.0(API 23) 运行时权限(二)之权限申请
  4. new string(abc)创建了几个对象_面试题系列第2篇:new String()创建几个对象?有你不知道的...
  5. eclipse java import_java – Eclipse:将源代码的import文件夹导入...
  6. 极具设计感的专辑分类设计,给你带来不一样的灵感
  7. UI设计师必定需要了解的sketch素材
  8. 商务建筑空间场景合成海报
  9. UI素材模板|优秀的后台管理系统UI面板
  10. UI设计干货素材|轻松搞定页面分页符(指示器)的设计