分频器是FPGA常用的一种时序电路,在各种的小项目中应用的尤为常见,今天李老湿哦给大家讲讲分频器之偶数分频。

基本原理:**偶数倍分频是最简单的一种分频模式,完全可通过计数器计数实现。如要进行N倍偶数分频,那么可由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数,以此循环下去。这种方法可以实现任意的偶数分频。例4-13给出的是一个参数型偶数分频电路,通过调用该模块可实现任意偶数分频。
不多扯淡了 直接上代码,用的软件是VIVADO2017.4.
底层代码如下:

在这里插入代码片`timescale 1ns / 1ps
module divf(clk,clk_N,reset_n);input clk,reset_n;output reg clk_N;
parameter N=6;reg [7:0] p;
always @(posedge clk or negedge reset_n)beginif (!reset_n)beginp<=1'b0 ;clk_N<=1'b0;endelse if(p==N/2-1)   //6分频begin p<=0;clk_N<=~clk_N;endelsep<=p+1;end
endmodule
顶层代码:
`timescale 1ns / 1psmodule divf_top(clk,clk_12,clk_10,reset_n);input clk,reset_n;output clk_12,clk_10;divf# (12) divf_12(     //  #代表传递参数,传递底层的N.clk(clk),.reset_n(reset_n),.clk_N(clk_12));divf# (10) divf_10(.clk(clk),.reset_n(reset_n),.clk_N(clk_10));endmodule仿真代码`在这里插入代码片`module devf_TB;reg clk,reset_n; wire clk_10,clk_12;initial beginreset_n=0;#20;reset_n=1;clk = 1'b0;
end
always#10 clk = ~clk;   //输入时钟为50MHZ
divf_top dut
( .clk (clk),//.clk_12 (clk_12),   //10分频.clk_10 (clk_10),.clk_12 (clk_12),.reset_n (reset_n) );endmodule仿真结果 ![大家自己一定要亲手做做哦](https://img-blog.csdnimg.cn/20190510101527881.png?x-oss-process=image/watermark,type_ZmFuZ3poZW5naGVpdGk,shadow_10,text_aHR0cHM6Ly9ibG9nLmNzZG4ubmV0L3dlaXhpbl80MjI5NzMwOA==,size_16,color_FFFFFF,t_70)

FPGA中的分频器-偶数分频相关推荐

  1. (95)分频器设计(偶数分频)

    (95)分频器设计(偶数分频) 1 文章目录 1)文章目录 2)FPGA入门与提升课程介绍 3)FPGA简介 4)分频器设计(偶数分频) 5)技术交流 6)参考资料 2 FPGA入门与提升课程介绍 1 ...

  2. 4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

    题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog 目录 VL37 偶数分频 VL40 奇数分频(占空比50%) VL42 奇数分频(任意无占 ...

  3. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  4. 分频器的Verilog实现(偶数分频、奇数分频)

    偶数分频器的Verilog实现 核心思想 对于占空比为50%.分频系数为N的偶数分频,其核心思想是使用计数范围为[0, (N/2)-1]的计数器,每当计数器计到最大值时输出时钟翻转一次,其余时间保持不 ...

  5. 二、12【FPGA】分频器 —— 奇偶分频

    前言 学习说明此文档为本人的学习笔记,注重实践,关于理论部分会给出相应的学习链接. 学习视频:是根据野火FPGA视频教程--第十四讲 https://www.bilibili.com/video/BV ...

  6. 数字IC手撕代码-分频器(任意偶数分频)

    前言: 本专栏旨在记录高频笔面试手撕代码题,以备数字前端秋招,本专栏所有文章提供原理分析.代码及波形,所有代码均经过本人验证. 目录如下: 1.数字IC手撕代码-分频器(任意偶数分频) 2.数字IC手 ...

  7. (33)FPGA分频设计-偶数分频(第7天)

    (33)FPGA分频设计-偶数分频(第7天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA分频设计-偶数分频(第7天) 5)技术交流 6)参考资料 2 ...

  8. 分频器——秒分频、三分频、五分频、任意分频和偶数分频

    文章目录 1.占空比 2.秒分频计数 3.偶数分频 4.奇数分频 1. 占空比不为50% 2. 占空比为50% 5.任意分频

  9. 小数分频器vhdl实现_基于FPGA的小数分频器的设计与实现.doc

    基于FPGA的小数分频器的设计与实现.doc 基于FPGA的小数分频器的设计与实现 [摘要]本文首先分析了现有小数分频器的优缺点,在此基础上提出了一种改进型小数分频器的设计方法.同时结合VHDL文本输 ...

最新文章

  1. Day 08 周六下午的活动
  2. 正则表达式grep sed awk总结
  3. mysql互为主从利弊_MySQL互为主从复制常见问题
  4. TopLink JPA
  5. nagios系列(七)nagios通过自定义脚本的方式监控mysql主从同步
  6. dlna和miracast可以共存吗_高考化学必备之离子共存问题
  7. getlasterror返回57怎么解决_王者荣耀:用时最短职业比赛?3分57秒结束,解说都看呆了!...
  8. JVM01----JVM结构
  9. SQL Server 用SSMS查看依赖关系有时候不准确,改用代码查
  10. 需求文档(PRD)撰写指南
  11. Java的ASCII编码表
  12. 【opencv】颜色空间总结
  13. 使用sphinx+markdown来编写文档,生成html和pdf
  14. python定时备份为知笔记数据
  15. 三维地质建模数据处理
  16. 区块链、NFT 与元宇宙中的稀缺性技术
  17. 基于EinScan-S的编码结构光方法空间三维模型重建
  18. 【云贝学院】腾讯云TDSQL-数据库字符集
  19. 我的前端知识体系构建(上)
  20. 学了点技术,我要开始装X了

热门文章

  1. 桂科版计算机工作的奥秘教案,桂科版三年级下册信息技术全册教案.pdf
  2. 超实用移动固态硬盘,Lexar雷克沙SL100 Pro
  3. 查找过的资料-防丢失
  4. 机器学习算法——神经网络5(ART 1网络)
  5. MATLAB 把坐标轴(X Y轴)移到坐标原点
  6. 回路电阻测试仪使用中的常见问题及排除方法
  7. This application has requested the Runtime to terminate it in an unusual way.
  8. 跟上节奏 大数据时代十大必备IT技能
  9. h5唤醒微信支付PHP,app内嵌微信h5支付,支付服务唤起支付处理
  10. 极验验证码逆向(一)