一直都知道fpga中有latch这么一回事,但是一直都不太清楚到底什么是锁存器,它是怎么产生的,它到底和寄存器有多少区别,它怎么消除。为什么说他不好?

一,是什么

锁存器是一种在异步时序电路系统中,对输入信号电平敏感的单元,用来存储信息。一个锁存器可以存储1bit的信息通常,锁存器会多个一起出现,如4位锁存器,8位锁存器。

锁存器在数据未锁存时,输出端的信号随输入信号变化,就像信号通过一个缓冲器,一旦锁存信号有效,则数据被锁存,输入信号不起作用。因此,锁存器也被称为透明锁存器,指的是不锁存时输出对于输入是透明的。

二   锁存器与寄存器的区别:

两者都是基本存储单元,单锁存器是电平触发的存储器,触发器是边沿触发的存储器。本质是,两者的基本功能是一样的,都可以存储数据。意思是说一个是组合逻辑的,一个是在时序电路中用的,时钟出发的。

    三,锁存器的危害:

对毛刺敏感,不能异步复位,所以上电以后处于不确定的状态;

Latch会使静态时序分析变得非常复杂;

在PLD芯片中,基本的单元是由查找表和触发器组成的,若生成锁存器反而需要更多的资源。

第三条也是最基本的原因。

四,产生的原因  ********ps重重之重

上面说了那没多只是觉得网上的没把锁存器说明白。下面的才是重点。

1,case

2,if-------else if

3,always@(敏感信号表)

 五 解决

1.case——————加default:

关于defalut的情况:一是可以 default:data=1‘bx;这个x表示未知,在综合时可以避免产生锁存器。在仿真时是红线表示。

二是   default:data=0;这样产生一个默认的情况。

2.if-----------------------一定要有else语句。

3.always---------如是说道:在赋值表达式右边参与赋值的信号都必须在always@(敏感电平列表)中列出。

如果在赋值表达式右端引用了敏感电平列表中没有列出的信号,那么在综合时,将会为该没有列出的信号隐含地产生一个透明锁存器。

4. 付初值。好用的

        六,怎么看到锁存器。

其实我挺讨厌网上说了好多的废话,到最后我都不知道是啥。所以我建议大家自己编成,在看看综合后的RTL图,以及技术RTL图,看看到底有什么不同,到底锁存器长啥样,漂亮不漂亮,嘿嘿。以下是我的截图。供参考:

这个是我在case语句中加入了default语句的综合结果。

以上两个图是没有加入的情况,可以看出明显不同。在上面的图中有由组合电路产生的与门,提供了电平触发锁存器。同时在技术RTL中多了一个LUT查找表,是为了锁存器提供使能的,当使能输出为1时锁存,使能为0时,输出正常。

不好意思代码我就不贴了。嘿嘿。ps:关键是我忘了是啥了,我就是一坑货呀。

其实挺简单地就是啊,a,b为输入,y输出。由a和b组成case({a,b})决定状态。

  七,我们讨论一下到底锁存器是不是就是没用的

1. Latch的本质

Latch作为一种电路单元,必然有其存在的理由及应用场景,在实际应用中,有些设计不可避免的要使用Latch,特别是总线应用上,例如,地址锁存器,数据锁存器,复位信号锁存器等。但是在更多的情况下,很容易产生未预料到的锁存器,使逻辑功能不满足要求,浪费大量时间。比较好的应用规则是:要学会分析是否需要Latch以及代码是否会产生意外的Latch。

通过Verilog HDL实现序列最大值搜索程序,并保持检测到的最大值

[plain]  view plain copy
  1. module two_max(
  2. a,rst_n,abmax
  3. );
  4. input   [7:0]  a;
  5. input          rst_n;
  6. output  [7:0]  abmax;
  7. reg     [7:0]  abmax_tmp;
  8. always @ (a or rst_n) begin
  9. if (!rst_n)
  10. abmax_tmp = 8'h00;
  11. else
  12. if (a>abmax_tmp)
  13. abmax_tmp = a;
  14. end
  15. endmodule

上述代码在ISE中的综合结果会给出设计中包含Latch的警告。但实际上,abmax_tmp锁存器正是我们需要的,所以,虽然有警告,但是代码设计是没有问题的。将上述代码的if语句补全:

[plain]  view plain copy
  1. if (a > abmax_tmp)
  2. abmax_tmp = a;
  3. else
  4. abmax_tmp = abmax_tmp;

经过综合后,仍然有Latch的警告。无论Latch是否是用户需要的,ISE都会给出警告,主要原因就是Latch对整个设计的时序性能影响较大。所以,在设计中要尽量避免Latch,但是确实需要使用的情况,也可以使用。

2. “不期望”latch

指的是与设计意图不符,产生的Latch。主要问题在于设计人员没有合理使用Verilog HDL语言,常见的原因是对条件语句(if、casse)的分支描述不完整。典型例子:用Verilog HDL实现一个锁存器,当输入数据大于127时,将输入数据输出,否则输出0

[plain]  view plain copy
  1. module latch_demo(
  2. din,dout
  3. );
  4. input   [7:0] din;
  5. output [7:0] dout;
  6. reg      [7:0] dout;
  7. always @ (din) begin
  8. if (din>127)
  9. dout <= din;
  10. end
  11. endmodule

综合后的结果,在比较器后面级联了锁存器,这是因为if语句缺少else分支造成的。查看仿真结果,当输入小于127时,输出保持了上次的127,不是0,没有达到设计要求。修改方法很简单,就是讲if-else补全。

[plain]  view plain copy
  1. if (din > 127 )
  2. dout = din;
  3. else
  4. dout = 0;

在ISE中综合后的结果中,可以看到补全if-else后,在比较器后面级联了与门,代替原来的锁存器,仿真结果也正确。

至此,可以得到一个结论:Latch作为一种基本电路单元,会影响到电路的时序性能,应该尽量避免使用,但出现Latch造成设计与意图不符的情况,是由于设计人员代码不正确造成的。

嘿嘿本文参考了http://blog.csdn.net/guqian110/article/details/10189301。谢谢

转载于:https://www.cnblogs.com/lianjiehere/p/3788334.html

latch的产生和消除相关推荐

  1. FPGA 中的latch 锁存器

    一直都知道fpga中有latch这么一回事,但是一直都不太清楚到底什么是锁存器,它是怎么产生的,它到底和寄存器有多少区别,它怎么消除.为什么说他不好? 一,是什么 锁存器是一种在异步时序电路系统中,对 ...

  2. java让线程空转_Java锁:悲观/乐观/阻塞/自旋/公平锁/闭锁,锁消除CAS及synchronized的三种锁级别...

    JAVA LOCK 大全 [TOC] 一.广义分类:乐观锁/悲观锁 1.1 乐观锁的实现CAS (Compare and Swap) 乐观锁适合低并发的情况,在高并发的情况下由于自旋,性能甚至可能悲观 ...

  3. Latch free等待事件

    原文:oracle waitinterface-a practical guide to performance diagnostics & tuning Richmond shee Kirt ...

  4. 锁存器Latch和触发器Flip-flop的区别

    锁存器Latch概述 锁存器(Latch)是一种对脉冲电平敏感的存储单元电路,它们可以在特定输入脉冲电平作用下改变状态.锁存,就是把信号暂存以维持某种电平状态.锁存器的最主要作用是缓存,其次完成高速的 ...

  5. 锁存器(latch)、触发器(flip-flop)、寄存器(register)的区别

    文章整理于网络: (一) 触发器:flipflop,锁存器:latch,寄存器:register锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,尽当锁存器处于使能状态 ...

  6. flipflop和latch以及register的区别

    关于硬件中常见的基本存储元件的定义.中英文对应的名字会模糊,今天特地查明具体定义. flip-flop:触发器,是时钟边沿触发,可存储1 bit data,是register的基本组成单位,结构图如下 ...

  7. Verilog十大基本功8 (flipflop和latch以及register的区别)

    Verilog十大基本功8 (flipflop和latch以及register的区别) 来自1:https://www.cnblogs.com/LNAmp/p/3295441.html 第一次接触La ...

  8. veriog中的latch问题

    latch:锁存器 1.在组合逻辑电路中,if-else条件分支语句缺少else语句解决方法:补全else 2.在组合逻辑电路中,case条件分支语句条件未完全列举且缺少default语句解决方法:补 ...

  9. Oracle Latch牛刀小试

    Latch free 等待事件,有单个参数:latch address,latch number,number of tries:在处理此等待事件的时候要注意一下几点:     latch 只应用于 ...

最新文章

  1. ping的实现和代码分析
  2. Luogu1714 切蛋糕
  3. 二级级联菜单---纯js实现
  4. 复旦计算机测试,复旦大学计算机用能力水平测试(Fudan Computer Skills.doc
  5. Day10 Python基础之特殊函数(八)
  6. 注册界面的实现案例视频(前端开发)
  7. vNext之旅(2):net451、dotnet5.4、dnx451、dnxcore50都是什么鬼
  8. Tensorflow2.0(2):中文分词(jieba)
  9. Python数值特征转换
  10. 0018-大数据售前的中年危机
  11. Swagger注解 详解
  12. MPLAB使用PICKit3.5烧录程序说明
  13. Linux磁盘管理(添加磁盘,分区、删除分区、格式化、挂载、卸载)
  14. Node.js学习笔记--进阶之路
  15. QT应用编程: 基于FFMPEG设计的流媒体播放器(播放rtmp视频流)
  16. 怎么在微云服务器找一个文件夹,用户怎样了解微云文件在哪里打开
  17. 抓取整个网站图片的爬虫
  18. 拒绝访问硬盘拒绝访问的找回方法
  19. python 下载视频文件_python 实现视频流下载保存MP4的方法
  20. RISC-V SiFive U54内核——中断和异常详解

热门文章

  1. Android-adb命令大全
  2. 竞价广告推广走过一些的路子,如何提升微信加粉转化效果
  3. NGSIM数据集提取换道前4s周围车辆的特征数据
  4. 路由器上USB插口的4大功能,估计你连一个都没用过!
  5. Android Smart Linkify 支持机器学习
  6. P1439 【模板】最长公共子序列
  7. 买《Python Web全栈工程师》专题视频课程送纸质图书
  8. 【word文档小标题】编号格式
  9. 华为鸿蒙系统HarmonyOS学习之十一:华为个人开发者账号注册步骤及方法
  10. 第四章:你造吗?CMOS传感器的构成与关键