Target Library:

由ASIC Vendor提供,后缀一般为".db",里面包含标准单元,Verilog文件映射为最后结构级网表的时候,就在里面查找标准单元。综合的最后就是把自己的code转换为vendor提供的单元的过程。

Link Library:

设计中不可避免的要用到一些第三方的IP,这些IP并不是designer设计的而是直接例化使用的。这些第三方的IP比如PLL,编译好的RAM,PAD等。这些IP在仿真时候往往使用vendor提供的一个仿真模型来模拟IP的真实行为。在综合的过程中并不希望DC把这些模型转换为标准单元库的。所以就需要用到Link Library,Link Library就是告诉DC这些第三方的IP不用你综合直接调用Link Library的lib文件即可。

Symbol Library:

在使用DCG模式是需要标准单元在电脑上显示的一个样子,也就是每个标准单元的“图标”,就要使用Symbol Library一般后缀为“.sdb”。

synthetic library

和link lib有点类似。当设计中使用了synopsys的DesignWare中的一些IP时,需要在综合中加入该库。

DC综合时用来将HDL代码转化为相对应的元件时所参考的模型库,比如RTL中的符号“+”,可以通过查找模型库将生成某一类加法器

DC综合各种lib library的解释相关推荐

  1. DC综合脚本中文详细解释

    #script for Design Compiler DC综合编译脚本 #language:TCL 语言说明 #Usage: 使用说明 1)make sure the lib in the curr ...

  2. 【DC综合】逻辑综合的实施流程

    逻辑综合实施流程 1. DC启动 1.1 四种启动方式 1.2 日志文件 1.3 启动文件 2. 读入设计文件 2.1 read 2.2 analyze&elaborate 2.3 link ...

  3. DC1+2: Tcl与Design Compiler (一二)——前言+DC综合与Tcl语法结构概述+DCT/DCG+wireloadmodel+Comments

    DCbasic and TCL命令 本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 1.逻辑综合的 ...

  4. DC综合——学习笔记

    DC综合--学习笔记 一.DC综合简介 1.1 什么是综合? 1.2 综合需要的文件 1.3 综合输出的文件 1.4 电路综合的要求 1.4.1 综合脚本的要求 1.4.2 综合结果的要求 二.DC综 ...

  5. DC综合的一些基本概念

    转载:https://www.cnblogs.com/leaftime/archive/2013/08/15/3259156.html 1.1 什么是DC? DC(Design Compiler)是S ...

  6. DC综合时Memory(ram、rom)的处理

    目录 前言 一.DC综合memory遇到的问题 二.Memory(ram.rom)处理 1.解决思路 2.Memaker安装 3.Memaker使用 3.1 打开Memaker 3.2 Ram的生成 ...

  7. DC综合完整脚本以及操作实例

    脚本最终成品..synopsys_dc.setup与syn-script.tcl.前面的文件定义各种库以及各种搜索路径,后者定义综合的约束以及输出结果文件. 启动dc,dc会自动的加载第一个文件,其中 ...

  8. 20211109:DC综合的一些简单概念理解记录

    DC综合的一些简单概念总结 问题描述 分析与解答 每日总结 首先写在最前面,关于我的个人博客只是一个学习记录,方便我在需要回顾时可以更快的找出这些知识点来回顾,而不是单纯的为了记录而记录.各位共勉! ...

  9. DC综合简单总结(2)

    DC综合简单总结(2) 建立时间和保持时间和数据输出延时时间 一.概念 建立时间和保持时间都是针对触发器的特性说的. 建立时间(Tsu:set up time) 是指在触发器的时钟信号上升沿到来以前, ...

  10. 20211110:DC综合的一些简单概念总结(2)

    DC综合的一些简单概念总结(2) 问题描述 分析与解答 每日总结 这些概念皆为一些必须理解的基础,经济基础决定上层建筑,学习也是同理.务必深化这些简单但是很基础的东西.做到别人问起时你可以侃侃而谈的效 ...

最新文章

  1. 从numpy开启Python数据科学之旅
  2. pandas使用sort_values函数对dataframe的日期数据列进行排序(设置ascending参数进行正序或者倒序排序)
  3. HttpClient 联网接口
  4. python3 json解析_Python3 JSON编码解码方法详解
  5. Android(java)学习笔记63:Clock App 编写报错01
  6. SAP 产品一脉相承的 UI 增强思路,在 SAP Commerce Cloud(电商云) UI 增强实现中的体现
  7. 代码整洁之道,clean code
  8. linux查看帮助文档的命令,Linux如何使用查看一个命令的帮助文档呢?
  9. 2020中国淘宝村研究报告
  10. Python快速判断若干整数是否互不相同
  11. oracle事件跟踪器使用,Oracle 10046跟踪事件操作步骤
  12. Java中parseInt()和valueOf(),toString()的区别
  13. 线性支持向量机与软间隔最大化
  14. 算法7-9:有向图搜索算法
  15. vue中的阿里巴巴矢量图标使用
  16. 商业模式及其 SubDAO 深入研究
  17. 【老罗笔记】关于成就的那些事
  18. click option/argument参数详解(click.option()和click.argument())
  19. 《如何阅读一本书》读后总结
  20. 【毛坦厂复读生心中所想】—— 我在大学挺好的【内附学习资源和路线】

热门文章

  1. 写了一个测试体质的工具,其实也可以用于算命。
  2. 基于FPGA的超声成像算法的硬件设计
  3. android 编辑框失去焦点,关于android:editText并没有失去焦点
  4. 决策树分析,让你的风险应对更专业
  5. 智课雅思词汇---二十四、名词性后缀ary(也是形容词后缀)
  6. 给大家推荐一个资源库https://www.awesomes.cn/
  7. java 设置excel宽度_javaexcel如何设置指定列宽
  8. Qt 之 打开pdf文件
  9. 基本共射放大电路的简单进化 阻容式耦合放大电路
  10. event mpm php,apache的mpm的几种模式