提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档

文章目录

  • Verilog学习
  • 一、T触发器介绍
  • 二、Verilog 语言实现
  • 总结

Verilog学习

记录个人学习Verilog的过程以及遇到的问题。


一、T触发器介绍

T触发器(Toggle Flip-Flop,or Trigger Flip-Flop)只有一个信号输入端T,在时钟有效边沿到来时,T端输入有效信号,则触发器翻转,否则触发器保持不变。因此T触发器能够实现有效的计数功能,常用于实现数字计数器。(维基百科:设有一个输入和输出,当时钟由0转为1时,如果T和Q不相同时,其输出值会是1。输入端T为1的时候,输出端的状态Q发生反转;输入端T为0的时候,输出端的状态Q保持不变)

图:T触发器符号

T触发器的特征方程:Qn+1=TQn′+T′Qn=T⊕QnQ_{n+1} = T Q_{n} ' +T ' Q_{n}= T⊕Q_{n}Qn+1​=TQn′​+T′Qn​=T⊕Qn​
T触发器的特征表:

TTT QQQ Qˉ\bar{Q}Qˉ​
0 0 0
0 1 0
1 0 1
1 1 0

二、Verilog 语言实现

代码如下(示例):

module t(clk,rst,T,Q);input clk,rst,T;output reg Q;always@(posedge clk or negedge rst)begin if(!rst) Q<=1'b0;else if(T) Q<=~Q;else     Q<=Q;end
endmodule

总结

简单介绍了T触发器,以及verilog语言实现T触发器的功能。
练习:牛客网-VL2 异步复位的串联T触发器

Verilog学习——T触发器相关推荐

  1. 【Verilog学习笔记】D触发器(门级和行为级)+4位寄存器+一个完整的激励程序

    [Verilog学习笔记]D触发器(门级和行为级)+4位寄存器+一个完整的激励程序 首先展示以下完整的程序 `timescale 1ns / 1psmodule hardreg( input wire ...

  2. Verilog学习之路(8)— 时序电路的设计

    Verilog学习之路(8)- 时序电路的设计 一.前言 时序逻辑电路的输出不仅与当前时刻输入变量的取值有关,而且与电路的原状态,即与过去的输入情况有关. 时序逻辑电路的两个特点: 时序逻辑电路包括组 ...

  3. Verilog学习笔记——入门

    Verilog学习笔记 01 基本逻辑门代码设计与仿真 Veriog基本逻辑门代码结构--以一位反相器为例 ModelSim仿真基本流程 02 组合逻辑代码设计与仿真--多路选择器 二选一逻辑--as ...

  4. Verilog学习脚印2-时序逻辑

    Verilog学习脚印2-时序逻辑 附:verilog语法笔记(持续更新ing) 目录 触发器基础 bash命令 实例1:计数器 电路原理(来自B站-北交李金城老师的PPT,侵删) 代码实现与验证 实 ...

  5. Verilog学习笔记

    Verilog学习笔记 本文根据学习菜鸟教程下Verilog教程总结得到,主要记载一些硬件语言描述下的骚操作,仅供学习. 归约操作符 归约操作符包括:归约与(&),归约与非( ~ &) ...

  6. mysql 数据库学习(触发器)

    引用:http://bbs.mysql.cn/thread-9135-1-2.html 引用:21. 触发程序 create table a (sa int); create table b (sb ...

  7. (21)System Verilog设计D触发器

    (21)System Verilog设计D触发器 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog 设计D触发器 1.D触发器基础知识 ...

  8. Verilog学习笔记-——Verilog模块例化

    Verilog学习笔记---Verilog模块例化 在一个模块中引用另一个模块,对其端口进行相关连接,叫做模块例化.模块例化建立了描述的层次.信号端口可以通过位置或名称关联,端口连接也必须遵循一些规则 ...

  9. Verilog学习之路(4)— Verilog HDL的程序设计语句

    Verilog HDL的程序设计语句 一.连续赋值语句 连续赋值语句通常用来描述组合逻辑电路,连续赋值的目标类型主要是标量线网和向量线网两种,标量线网如"wire a,b;",向量 ...

  10. verilog学习:一个简单的入门verilog例子

    前言 之前的文章<如何学习verilog,如何快速入门?>中提到了verilog学习,只想传输一个观点:刚开始学习的时候,建议直接通过视频学习,当您有收获时,再去查阅书籍,把它们当成工具书 ...

最新文章

  1. “兼职开发的程序员,为什么不受企业待见?”
  2. $.post把表单对象传递过去_第二章 第三节 Request请求对象详解
  3. 读史以明志,把握好自己的明天
  4. Oracle技术之OCRCONFIG工具
  5. springboot启动不了_七款高Star的开源SpringBoot扩展,助你的代码水平更上一层楼
  6. PHP表单提交参数验证类(可修改)
  7. ibatis 操作返回值
  8. php 修改文件访问时间,php获取文件的创建、修改时间及访问时间
  9. 【设计模式:单例模式】单例模式02:懒汉模式
  10. cupsd进程_linux pstree命令显示正在运行的进程的进程树状图
  11. 打包fpm mysql_fpm制做mysql-5.6.33 rpm包
  12. 开篇-.net与.net framework和C#的关系与区别,历史沿革
  13. cad刷新快捷键_CAD快捷键命令
  14. 德保罗大学计算机专业,德保罗大学计算机、信息与网络安全研究生语言及申请要求-费用-课程设置...
  15. deepin[idea添加桌面]
  16. python pip 换源_Python pip换源教程
  17. java项目黑科技_这些Java编程黑科技,装逼指南,高逼格代码,让你惊叹不已
  18. Thinking in java-35 String 字符串
  19. 7-38 社交网络图中结点的“重要性”计算 (30分) 最短路 迪杰斯特拉堆优化
  20. “瞎买量”时代终结,游戏运营推广该如何选择?

热门文章

  1. matlab安时积分法计算soc,一种带加权的安时积分的SOC估算方法与流程
  2. 【雅思大作文考官范文】——第十九篇:独居人口' essay
  3. html图片重叠轮播,HTML5--图片轮播多张展示
  4. IDEA上传代码至github
  5. 中文维基百科数据爬取与预处理
  6. 推断性统计部分(一)---样本与分布的关系及其检验统计量
  7. 第五篇 应用Java
  8. psd转html 织梦,如何将PSD模型转化成XHTML和CSS文件
  9. 阿里P7、P8、P9级别需要多少年的工作经验,还是因能力而定?
  10. 沙雕动画资源素材大全/小白零基础快速入门沙雕动画/三天快速上手开始制作沙雕动画/