此代码适用于黑金开发板,其他的自行尝试吧

library ieee;
use ieee.std_logic_1164.all;
entity vga_display is
port(rst_n:in std_logic ;
clk :in std_logic ;
right_a:in std_logic;
left_a:in std_logic;
up_a:in std_logic;
down_a:in std_logic;
xpos:in integer;
ypos:in integer;
LED : IN std_logic_vector(3 downto 0);
data:out std_logic_vector(2 downto 0)
);
end vga_display;
architecture a of vga_display is

constant h_disp:integer:=800;
constant red : std_logic_vector:=“100”;
constant yellow: std_logic_vector:=“110”;
constant green: std_logic_vector:=“010”;
constant gry: std_logic_vector:=“111”;
signal color:integer:=0;

signal dianshu:integer:=9;

signal LEDAS: std_logic_vector(3 downto 0):=“1111”;

signal xiaoshi:integer:=0;
signal fen:integer:=0;
signal miao:integer:=0;

signal clkk:integer:=0;

signal sinx:integer:=0;
signal siny:integer:=0;

signal sinxa:integer:=0;
signal sinya:integer:=0;

signal sinxb:integer:=0;
signal sinyb:integer:=0;

signal kongzhian:integer:=0;
signal kongzhiana:integer:=0;
begin
process(rst_n,clk)
begin
if rst_n=‘0’ then
data<=“000”;
elsif clk’event and clk=‘1’ then
if right_a=‘0’ and kongzhian=0 then
fen<=fen+1;kongzhian<=1;
end if;
if right_a=‘1’ and kongzhian=1 then
kongzhian<=0;
end if;
if up_a=‘0’ and kongzhiana=0 then
xiaoshi<=xiaoshi+1;kongzhiana<=1;
end if;
if up_a=‘1’ and kongzhiana=1 then
kongzhiana<=0;
end if;
if LED=“0001” AND LEDAS/=LED THEN fen<=fen+1;LEDAS<=LED;
end if;
if LED=“0010” AND LEDAS/=LED THEN fen<=fen+1;LEDAS<=LED;
end if;
if LED=“0100” AND LEDAS/=LED THEN xiaoshi<=xiaoshi+1;LEDAS<=LED;
end if;
if LED=“0000” AND LEDAS/=LED THEN xiaoshi<=xiaoshi+1;LEDAS<=LED;
end if;
clkk<=clkk+1;
if clkk=40000000 then clkk<=0; miao<=miao+1;
end if;
if miao=60 then miao<=0;fen<=fen+1;
end if;
if fen=60 then fen<=0;xiaoshi<=xiaoshi+1;
end if;
if xiaoshi=12 then xiaoshi<=0;
end if;
if xpos>390 and xpos<410 and ypos>90 and ypos<110 then
color<=1;
elsif xpos>190 and xpos<210 and ypos>290 and ypos<310 then
color<=1;
elsif xpos>590 and xpos<610 and ypos>290 and ypos<310 then
color<=1;
elsif xpos>390 and xpos<410 and ypos>490 and ypos<510 then
color<=1;
elsif xpos>495 and xpos<505 and ypos>122 and ypos<132 then
color<=1;
elsif xpos>568 and xpos<578 and ypos>195 and ypos<205 then
color<=1;
elsif xpos>495 and xpos<505 and ypos>468 and ypos<478 then
color<=1;
elsif xpos>568 and xpos<578 and ypos>395 and ypos<405 then
color<=1;
elsif xpos>295 and xpos<305 and ypos>122 and ypos<132 then
color<=1;
elsif xpos>222 and xpos<232 and ypos>195 and ypos<205 then
color<=1;
elsif xpos>295 and xpos<305 and ypos>468 and ypos<478 then
color<=1;
elsif xpos>222 and xpos<232 and ypos>395 and ypos<405 then
color<=1;
elsif xpos>396 and xpos<404 and ypos>296 and ypos<304 then
color<=4;
else
color<=0;
end if;
if xiaoshi=0 then sinxb<=0; sinyb<=200;
elsif xiaoshi=1 then sinxb<=99; sinyb<=173;
elsif xiaoshi=2 then sinxb<=173; sinyb<=100;
elsif xiaoshi=3 then sinxb<=199; sinyb<=0;
elsif xiaoshi=4 then sinxb<=173; sinyb<=-99;
elsif xiaoshi=5 then sinxb<=100; sinyb<=-173;
elsif xiaoshi=6 then sinxb<=0; sinyb<=-199;
elsif xiaoshi=7 then sinxb<=-99; sinyb<=-173;
elsif xiaoshi=8 then sinxb<=-173; sinyb<=-100;
elsif xiaoshi=9 then sinxb<=-199; sinyb<=0;
elsif xiaoshi=10 then sinxb<=-173; sinyb<=99;
elsif xiaoshi=11 then sinxb<=-100; sinyb<=173;
end if;
for n in 1 to dianshu-3 loop
if xpos>396+sinxbn/dianshu and xpos<404+sinxbn/dianshu and ypos>296-sinybn/dianshu and ypos<304-sinybn/dianshu then
color<=3;exit;
end if;
end loop;
if fen=0 then sinxa<=0; sinya<=200;
elsif fen=1 then sinxa<=20; sinya<=198;
elsif fen=2 then sinxa<=41; sinya<=195;
elsif fen=3 then sinxa<=61; sinya<=190;
elsif fen=4 then sinxa<=81; sinya<=182;
elsif fen=5 then sinxa<=99; sinya<=173;
elsif fen=6 then sinxa<=117; sinya<=161;
elsif fen=7 then sinxa<=133; sinya<=148;
elsif fen=8 then sinxa<=148; sinya<=133;
elsif fen=9 then sinxa<=161; sinya<=117;
elsif fen=10 then sinxa<=173; sinya<=100;
elsif fen=11 then sinxa<=182; sinya<=81;
elsif fen=12 then sinxa<=190; sinya<=61;
elsif fen=13 then sinxa<=195; sinya<=41;
elsif fen=14 then sinxa<=198; sinya<=20;
elsif fen=15 then sinxa<=199; sinya<=0;
elsif fen=16 then sinxa<=198; sinya<=-20;
elsif fen=17 then sinxa<=195; sinya<=-41;
elsif fen=18 then sinxa<=190; sinya<=-61;
elsif fen=19 then sinxa<=182; sinya<=-81;
elsif fen=20 then sinxa<=173; sinya<=-99;
elsif fen=21 then sinxa<=161; sinya<=-117;
elsif fen=22 then sinxa<=148; sinya<=-133;
elsif fen=23 then sinxa<=133; sinya<=-148;
elsif fen=24 then sinxa<=117; sinya<=-161;
elsif fen=25 then sinxa<=100; sinya<=-173;
elsif fen=26 then sinxa<=81; sinya<=-182;
elsif fen=27 then sinxa<=61; sinya<=-190;
elsif fen=28 then sinxa<=41; sinya<=-195;
elsif fen=29 then sinxa<=20; sinya<=-198;
elsif fen=30 then sinxa<=0; sinya<=-199;
elsif fen=31 then sinxa<=-20; sinya<=-198;
elsif fen=32 then sinxa<=-41; sinya<=-195;
elsif fen=33 then sinxa<=-61; sinya<=-190;
elsif fen=34 then sinxa<=-81; sinya<=-182;
elsif fen=35 then sinxa<=-99; sinya<=-173;
elsif fen=36 then sinxa<=-117; sinya<=-161;
elsif fen=37 then sinxa<=-133; sinya<=-148;
elsif fen=38 then sinxa<=-148; sinya<=-133;
elsif fen=39 then sinxa<=-161; sinya<=-117;
elsif fen=40 then sinxa<=-173; sinya<=-100;
elsif fen=41 then sinxa<=-182; sinya<=-81;
elsif fen=42 then sinxa<=-190; sinya<=-61;
elsif fen=43 then sinxa<=-195; sinya<=-41;
elsif fen=44 then sinxa<=-198; sinya<=-20;
elsif fen=45 then sinxa<=-199; sinya<=0;
elsif fen=46 then sinxa<=-198; sinya<=20;
elsif fen=47 then sinxa<=-195; sinya<=41;
elsif fen=48 then sinxa<=-190; sinya<=61;
elsif fen=49 then sinxa<=-182; sinya<=81;
elsif fen=50 then sinxa<=-173; sinya<=99;
elsif fen=51 then sinxa<=-161; sinya<=117;
elsif fen=52 then sinxa<=-148; sinya<=133;
elsif fen=53 then sinxa<=-133; sinya<=148;
elsif fen=54 then sinxa<=-117; sinya<=161;
elsif fen=55 then sinxa<=-100; sinya<=173;
elsif fen=56 then sinxa<=-81; sinya<=182;
elsif fen=57 then sinxa<=-61; sinya<=190;
elsif fen=58 then sinxa<=-41; sinya<=195;
elsif fen=59 then sinxa<=-20; sinya<=198;
end if;
for n in 1 to dianshu-1 loop
if xpos>397+sinxan/dianshu and xpos<403+sinxan/dianshu and ypos>297-sinyan/dianshu and ypos<303-sinyan/dianshu then
color<=2;exit;
end if;
end loop;
if miao=0 then sinx<=0; siny<=200;
elsif miao=1 then sinx<=20; siny<=198;
elsif miao=2 then sinx<=41; siny<=195;
elsif miao=3 then sinx<=61; siny<=190;
elsif miao=4 then sinx<=81; siny<=182;
elsif miao=5 then sinx<=99; siny<=173;
elsif miao=6 then sinx<=117; siny<=161;
elsif miao=7 then sinx<=133; siny<=148;
elsif miao=8 then sinx<=148; siny<=133;
elsif miao=9 then sinx<=161; siny<=117;
elsif miao=10 then sinx<=173; siny<=100;
elsif miao=11 then sinx<=182; siny<=81;
elsif miao=12 then sinx<=190; siny<=61;
elsif miao=13 then sinx<=195; siny<=41;
elsif miao=14 then sinx<=198; siny<=20;
elsif miao=15 then sinx<=199; siny<=0;
elsif miao=16 then sinx<=198; siny<=-20;
elsif miao=17 then sinx<=195; siny<=-41;
elsif miao=18 then sinx<=190; siny<=-61;
elsif miao=19 then sinx<=182; siny<=-81;
elsif miao=20 then sinx<=173; siny<=-99;
elsif miao=21 then sinx<=161; siny<=-117;
elsif miao=22 then sinx<=148; siny<=-133;
elsif miao=23 then sinx<=133; siny<=-148;
elsif miao=24 then sinx<=117; siny<=-161;
elsif miao=25 then sinx<=100; siny<=-173;
elsif miao=26 then sinx<=81; siny<=-182;
elsif miao=27 then sinx<=61; siny<=-190;
elsif miao=28 then sinx<=41; siny<=-195;
elsif miao=29 then sinx<=20; siny<=-198;
elsif miao=30 then sinx<=0; siny<=-199;
elsif miao=31 then sinx<=-20; siny<=-198;
elsif miao=32 then sinx<=-41; siny<=-195;
elsif miao=33 then sinx<=-61; siny<=-190;
elsif miao=34 then sinx<=-81; siny<=-182;
elsif miao=35 then sinx<=-99; siny<=-173;
elsif miao=36 then sinx<=-117; siny<=-161;
elsif miao=37 then sinx<=-133; siny<=-148;
elsif miao=38 then sinx<=-148; siny<=-133;
elsif miao=39 then sinx<=-161; siny<=-117;
elsif miao=40 then sinx<=-173; siny<=-100;
elsif miao=41 then sinx<=-182; siny<=-81;
elsif miao=42 then sinx<=-190; siny<=-61;
elsif miao=43 then sinx<=-195; siny<=-41;
elsif miao=44 then sinx<=-198; siny<=-20;
elsif miao=45 then sinx<=-199; siny<=0;
elsif miao=46 then sinx<=-198; siny<=20;
elsif miao=47 then sinx<=-195; siny<=41;
elsif miao=48 then sinx<=-190; siny<=61;
elsif miao=49 then sinx<=-182; siny<=81;
elsif miao=50 then sinx<=-173; siny<=99;
elsif miao=51 then sinx<=-161; siny<=117;
elsif miao=52 then sinx<=-148; siny<=133;
elsif miao=53 then sinx<=-133; siny<=148;
elsif miao=54 then sinx<=-117; siny<=161;
elsif miao=55 then sinx<=-100; siny<=173;
elsif miao=56 then sinx<=-81; siny<=182;
elsif miao=57 then sinx<=-61; siny<=190;
elsif miao=58 then sinx<=-41; siny<=195;
elsif miao=59 then sinx<=-20; siny<=198;
end if;
for n in 1 to dianshu loop
if xpos>398+sinxn/dianshu and xpos<402+sinxn/dianshu and ypos>298-sinyn/dianshu and ypos<302-sinyn/dianshu then
color<=1;exit;
end if;
end loop;
end if;
if color=1 then data<=red;
elsif color=2 then data<=yellow;
elsif color=3 then data<=green;
elsif color=4 then data<=gry;
else data<=“000”;
end if;
end process;

end a;

library ieee;
use ieee.std_logic_1164.all;
entity vga_drive is
port(
rst_n:in std_logic;
clk :in std_logic;
xpos:out integer;
ypos:out integer;
data:in std_logic_vector(2 downto 0);
vga_h:out std_logic ;
vga_v:out std_logic ;
vga_r:out std_logic ;
vga_g:out std_logic ;
vga_b:out std_logic
);
end vga_drive;

architecture a of vga_drive is

constant h_sync:integer :=128;
constant v_sync:integer :=4;

signal cnt_h:integer;
signal cnt_v:integer;
signal vga_en:std_logic;

begin

process(rst_n,clk)
begin
if rst_n=‘0’ then cnt_h <=0;
elsif clk’event and clk=‘1’ then
if cnt_h<1055 then cnt_h<=cnt_h+1;
else cnt_h<=0;
end if;
end if;
end process;

vga_h<=‘0’ when cnt_h<h_sync else’1’;

process(rst_n,clk)
begin
if rst_n=‘0’ then cnt_v<=0;
elsif clk 'event and clk=‘1’ then
if cnt_h =1055 then
if cnt_v<627 then cnt_v<=cnt_v+1;
else cnt_v<=0;
end if;
end if;
end if;
end process;

vga_v<=‘0’ when cnt_v<v_sync else’1’;

xpos <=cnt_h-(128+88);
ypos <=cnt_v-(4+23);
vga_r<=data(2) when vga_en=‘1’ else ‘0’;
vga_g<=data(1) when vga_en=‘1’ else ‘0’;
vga_b<=data(0) when vga_en=‘1’ else ‘0’;
vga_en<=‘1’ when cnt_h>=(128+88) and cnt_h<(128+88+800) and cnt_v>=(4+23) and cnt_v<(4+23+600) else’0’;

end a;

library ieee;
use ieee.std_logic_1164.all;

entity ps2led is
port(
RST_n : in std_logic;
CLK : in std_logic;
ps2clk : in std_logic;
ps2dat : in std_logic;
LED : out std_logic_vector(3 downto 0)
);
end ps2led;

architecture a of ps2led is
component ps2scan is
port(
rst_n : in std_logic;
clk : in std_logic;
ps2_clk : in std_logic;
ps2_data : in std_logic;
ps2_byte : out std_logic_vector(7 downto 0);
ps2_flag : out std_logic
);
end component;
signal ps2_flag : std_logic;
signal ps2_byte : std_logic_vector(7 downto 0);
signal ps2_flag_1, ps2_flag_2 : std_logic;

begin
U1 : ps2scan
port map(
rst_n => RST_n,
clk => CLK,
ps2_clk => ps2clk,
ps2_data => ps2dat,
ps2_byte => ps2_byte,
ps2_flag => ps2_flag
);
process(RST_n, CLK)
begin
if RST_n=‘0’ then
LED <= “0000”;
elsif CLK’event and CLK=‘1’ then
if ps2_flag_2=‘0’ and ps2_flag_1=‘1’ then
case ps2_byte is
when x"1C" =>
LED<= “0001”;
when x"1B" =>
LED <= “0010”;
when x"23" =>
LED <= “0100”;
when x"1D" =>
LED <= “1000”;
when others =>
LED <= “0000”;
end case;
end if;
end if;
end process;
process(RST_n, CLK)
begin
if RST_n=‘0’ then
ps2_flag_1 <= ‘1’;
ps2_flag_2 <= ‘1’;
elsif CLK’event and CLK=‘1’ then
ps2_flag_1 <= ps2_flag;
ps2_flag_2 <= ps2_flag_1;
end if;
end process;

end a;

library ieee;
use ieee.std_logic_1164.all;
entity fds is
port( rst_n:in std_logic;
clk :in std_logic;
right_a:in std_logic;
left_a:in std_logic;
up_a:in std_logic;
down_a:in std_logic;
ps2clk : in std_logic;
ps2dat : in std_logic;
vga_h:out std_logic ;
vga_v:out std_logic ;
vga_r:out std_logic ;
vga_g:out std_logic ;
vga_b:out std_logic
);
end fds;
architecture a of fds is

component vga_drive is
port(
rst_n:in std_logic;
clk :in std_logic;
xpos:out integer ;
ypos: out integer ;
data:in std_logic_vector(2 downto 0);
vga_h:out std_logic ;
vga_v:out std_logic ;
vga_r:out std_logic ;
vga_g:out std_logic ;
vga_b:out std_logic
);
end component;

component vga_display is
port(rst_n:in std_logic ;
clk :in std_logic ;
right_a:in std_logic;
left_a:in std_logic;
up_a:in std_logic;
down_a:in std_logic;
xpos:in integer ;
ypos:in integer ;
LED : in std_logic_vector(3 downto 0);
data:out std_logic_vector(2 downto 0)
);
end component;

component ps2led is
port( RST_n : in std_logic;
CLK : in std_logic;
ps2clk : in std_logic;
ps2dat : in std_logic;
LED : out std_logic_vector(3 downto 0)
);
end component;
signal xpos:integer;
signal ypos:integer;
signal data:std_logic_vector(2 downto 0);
signal LED:std_logic_vector(3 downto 0);
begin

u1:vga_drive
port map(
rst_n =>rst_n,
clk =>clk,
xpos=>xpos,
ypos=>ypos ,
data=>data,
vga_h=>vga_h ,
vga_v=>vga_v ,
vga_r=>vga_r ,
vga_g=>vga_g ,
vga_b=>vga_b
);

u2:ps2led
port map(
rst_n=> RST_n,
CLK=>CLK ,
ps2clk=>ps2clk,
ps2dat=>ps2dat,
LED=>LED
);

u3:vga_display
port map(
rst_n =>rst_n,
clk =>clk,
right_a=>right_a,
left_a=>left_a,
up_a=>up_a,
down_a=>down_a,
xpos=>xpos,
ypos=>ypos ,
LED=>LED,
data=>data
);

end a;

library ieee;
use ieee.std_logic_1164.all;

entity ps2scan is
port(
rst_n : in std_logic;
clk : in std_logic;
ps2_clk : in std_logic;
ps2_data : in std_logic;
ps2_byte : out std_logic_vector(7 downto 0);
ps2_flag : out std_logic – rising edge indicates a key loose
);
end ps2scan;

architecture a of ps2scan is
signal byte_cnt : integer range 0 to 15;
signal temp_data : std_logic_vector(7 downto 0);
signal f0_code : std_logic;
signal ps2_clk_1,ps2_clk_2 : std_logic;
begin
process(rst_n, clk)
begin
if RST_n=‘0’ then
ps2_clk_1 <= ‘0’;
ps2_clk_2 <= ‘0’;
elsif clk’event and clk=‘1’ then
ps2_clk_1 <= ps2_clk;
ps2_clk_2 <= ps2_clk_1;
end if;
end process;
process(rst_n, clk)
begin
if rst_n=‘0’ then
byte_cnt <= 0;
temp_data <= (others=>‘0’);
elsif clk’event and clk=‘1’ then
if (ps2_clk_1=‘0’ and ps2_clk_2=‘1’) then
if byte_cnt=0 then
byte_cnt <= byte_cnt + 1;
elsif byte_cnt<9 then
byte_cnt <= byte_cnt + 1;
temp_data(7 downto 0) <= ps2_data & temp_data(7 downto 1);
elsif byte_cnt<10 then
byte_cnt <= byte_cnt + 1;
else
byte_cnt <= 0;
end if;
end if;
end if;
end process;
process(rst_n, clk)
begin
if (rst_n=‘0’)then
f0_code <= ‘0’;
ps2_byte <= x"00";
ps2_flag <= ‘0’;
elsif (clk’event and clk=‘1’)then
if byte_cnt=10 then
if temp_data=x"F0" then
f0_code <= ‘1’;
elsif f0_code=‘1’ then
f0_code <= ‘0’;
ps2_byte <= temp_data;
ps2_flag <= ‘1’;
else
ps2_flag <= ‘0’;
end if;
end if;
end if;
end process;

end a;

此源码控制使用为A S键交替按下分针加一
此源码控制使用为D 空格键交替按下时针加一

VHDL VGA 时钟处理 转盘形式 源代码相关推荐

  1. (29)VHDL实现时钟分频

    (29)VHDL实现时钟分频 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现时钟分频 5)结语 1.2 FPGA简介 FPGA(Field Programmable Ga ...

  2. 时钟电路程序设计c语言,STC89C52单片机简易时钟程序电路设计(附源代码和电路图)...

    51(STC89C52)单片机简易时钟程序电路设计(附源代码和电路图) 上一篇做了一个温湿度采集电路,觉得这东西玩起来还是挺有意思,然后就想做一个时钟,于是就有了下文 1.成品图 51hei图片_20 ...

  3. vertix7 时钟频率配置(verilog源代码)

    接这篇文章,vertix7 时钟频率配置(VC707 USER_CLOCK_P与USER_CLOCK_N 这两路差分输入时钟频率配置 )(SI570芯片配置),把源代码附上!!!仅供参考! 一个top ...

  4. LV2之-----canvas 画布实现 自转时钟 大转盘

    canvas 画布运用实例:1.系统时钟 <!DOCTYPE html> <html lang="en"><head><meta char ...

  5. 使用Arduino和DS12C887芯片制作电子时钟(附Arduino源代码)

    如何使用Arduino对DS12C887时钟模块进行时间读写 搜了一下DS12C887的资料,最详细的是郭天祥关于这个模块的视频教程,教程中讲解了这个模块的使用手册和程序的编写,但是这个教程是在51单 ...

  6. VHDL数字时钟设计及引脚锁定方法

    目录 引言 课设题目 时,分,秒模块 分频模块 按键去抖模块 调时模块 蜂鸣器报警模块 扫描信号输出模块 二进制转八段数码管显示信号 结果展示 小结 引言 最近快期末考试周了,课设任务也随之而来.这个 ...

  7. PHP jQuery微信大转盘抽奖源代码分享

    微信大转盘抽奖-jQuery+PHP实现,发现很多转盘抽奖,都实现了前台部分,大部分都使用了HTML5技术,但是后台自己调整抽奖几率不方便,索性自己收集资料,在一个转盘抽奖的基础上,增加了PHP部分代 ...

  8. c51时钟数码管显示流程图_基于51单片机的8位数码管电子时钟仿真图及源代码详细资料概述...

    描述 利用8位数码管显示时间,原理与4位数码管显示的基本一样 仿真图: 程序源代码: 本程序已经通过在线软件仿真和硬件制作. org 0000h ;程序开始入口地址 sjmp main ;跳转至主程序 ...

  9. 时钟日历c语言源代码,日历时钟DS12887或146818的C语言源程序.doc

    日历时钟DS12887或146818的C语言源程序#define uchar unsigned char#define uint unsigned int#include #include #incl ...

最新文章

  1. 2019年上半年收集到的人工智能GAN干货文章
  2. Android 布局开发之百分比布局、弹性布局
  3. 改变文件的所有者和所在组
  4. webStroage案例
  5. map可以用结构体作为健值吗?
  6. 中求和符号上下标_涨电脑知识:如何在word中编写复杂的公式,写论文必备技能...
  7. Taro+react开发(92):taro中的事件处理
  8. 计算机多媒体发展2018,2018秋季学期计算机多媒体项目圆满结课
  9. LeetCode - Best Time to Buy and Sell
  10. php 检测 变量是否设置,php判断变量是否定义
  11. ssm项目配置文件中的包扫描bean,排除特定bean的扫描
  12. 【LOJ】#3088. 「GXOI / GZOI2019」旧词
  13. 剧情插件Cutscene Creator uSequencer 1.3.7.1使用说明二
  14. 模型实践 | Alphafold 蛋白质结构预测
  15. win10家庭版调出组策略_正版Win10免费送!支持无限次数重装
  16. 贪心(优先队列) - New Year Snowmen - CodeForces - 140C
  17. STM32智能开关窗设备
  18. Docker Volume原理及使用
  19. 飞机上允许携带哪些移动电源?
  20. 2022高压电工国家题库模拟考试平台操作

热门文章

  1. ThinkPad T480高分屏第三方软件字体模糊解决办法
  2. 直播电脑配置推荐2023 直播电脑配置清单2023
  3. 【步态识别】GaitEdge超越普通的端到端识别《GaitEdge: Beyond Plain End-to-end Gait Recognition for Better Practicality》
  4. mysql执行语句时c盘突然占满_C盘突然变满了,怎么办?
  5. pg_dump/pg_restore备份和恢复
  6. 下列不是python数据类型的是_python不支持的数据类型有
  7. 找不到servlet,第一次500,再刷新404
  8. [附源码]Java计算机毕业设计SSM大悦城电竞赛事管理系统
  9. Android Shape阴影背景
  10. 1030 Travel Plan(超级无敌详细注释+47行代码)