Vivado 在编译的时候会报错,完整的错误信息如下:

[Common 17-69] Command failed: This design contains one or more cells for which bitstream generation is not permitted:
tri_mode_ethernet_mac_i/inst/tri_mode_ethernet_mac_0_core (tri_mode_ethernet_mac_0_tri_mode_ethernet_mac_v9_0_10)
If a new IP Core license was added, in order for the new license to be picked up, the current netlist needs to be updated by resetting and re-generating the IP output products before bitstream generation.

解决办法:
https://www.xilinx.com/support/answers/58758.html
先去官方看下解决办法,一般有两种情况:
1、以前在别的机器上可以用,新机器编译会报错,所以会出现CPRI IP的License Host ID 和本机并不相符.。
这种情况需要去官方重新申请license,注意修改License Host ID。
2、就是我这次遇到的问题,就是没有IP的License,这时候要去申请该IP的权限,申请方法详见下面链接:
https://blog.csdn.net/Pieces_thinking/article/details/103449922

复制这个链接直接进入ip申请的地址:http://www.xilinx.com/getlicense

xilinx官网申请IP相关推荐

  1. 怎样通过Xilinx官网查找资料,最全的xilinx网站指南(转载)

    [总结]怎样通过Xilinx官网查找资料,最全的xilinx网站指南 http://blog.sina.com.cn/s/blog_4e77efa101000d0h.html 俗话说"授之鱼 ...

  2. AMD Xilinx官网由于合规性不通过导致无法下载软件原因及解决办法

    在访问AMD Xilinx官网下载开发软件时,需要邮箱注册,注册后点击下载会报错. 报错: "请修正错误,再次发送您的信息 Please correct the errors and sen ...

  3. xilinx官网下载vivado速度慢的解决方法(适用于所有版本)

    1.进入xilinx官网,选择需要下载的vivado版本,我选择下载Vivado HLx 2017.4: All OS installer Single-File Download (TAR/GZIP ...

  4. xilinx 官网vivado和petalinux下载速度慢的解决办法

    我们一般在xilinx下载文件的时候,下载速度很慢,最近我发现一个方法可以提升下载速度. 先下载迅雷 然后进入xilinx官网,寻找要下载的文件,点击下载 然后选择迅雷下载 可以看到下载速度变得非常快 ...

  5. Xilinx官网查询各个版本软件的手册

    在Xilinx官网查询各个版本软件的手册需要点击 See All Versions

  6. Xilinx官网下载不同版本的Vivado

    (1)进入Xilinx官网 xilinx.com (2)点击"Product",再点击"Software,Tools,&Apps",点击"Vi ...

  7. Xilinx官网大全__PowerPC 架构

    1.赛灵思官方网站http://china.xilinx.com/ 2.赛灵思大学计划官方网站 http://china.xilinx.com/univ/ 3.赛灵思开放源码硬件社群 http://w ...

  8. Harmonyos官网申请的,HarmonyOS 2.0手机开发者Beta公测招募,普通用户有没有必要申请?...

    [官方活动] HarmonyOS 2.0手机开发者Beta公测招募,普通用户有没有必要申请? 30663320904 电梯直达 BH8AVW 花粉版主 发表于 2020-12-16 12:37:40 ...

  9. 在Esri官网申请60天试用版 ArcGIS Desktop(过期)

    esri官网更新了呢,点 这里 查看更新后的方法吧. 在Esri的美国官网上,提供了当前最新版本ArcGIS Desktop的试用版,我们可以下载.安装.注册.试用,以及用于非商业目的学习和使用. 最 ...

最新文章

  1. SQL 中字母大小写转换
  2. ASP.NET中常用的文件上传下载方法
  3. 利用RxJava加载图片
  4. 2.Lucene3.6.2包介绍,第一个Lucene案例介绍,查看索引信息的工具lukeall介绍,Luke查看的索引库内容,索引查找过程
  5. 很强大的一个jquery分页插件
  6. AGC 022 B - GCD Sequence
  7. Electron技术架构
  8. 二级路由添加网络打印机的方法
  9. 【SPIE独立出版∣IEEE Fellow特邀主讲】人工智能、网络等多主题征稿
  10. 液晶显示屏的LED背光辉度公式计算?
  11. 常见bat命令(二)
  12. linux 定制自己的开机logo
  13. IIC方式读驱动AT24C16芯片
  14. nginx服务器access_log日志详解
  15. 数据库-Oracle
  16. R语言之Rstudio 导入包
  17. Network学习11_Pcap包拆分工具pkt2flow介绍
  18. 2022!影响百万用户金融信用评分,Equifax被告上法庭,罪魁祸首——『数据漂移』!
  19. 记录一下reids连接超时,无法访问6379端口的问题
  20. MYSQL人事工资管理系统--数据库表及表设计(一)

热门文章

  1. 点击键盘的return键收回键盘
  2. IDEA日常填坑:Cannot resolve plugin org.apache.maven.plugins:maven-war-plugin
  3. Windows打印机共享配置设置
  4. WPF疑难问题之Treeview中HierarchicalDataTemplate多级样式
  5. Windows快捷键小结
  6. 译:WebRTC视频通信浅析
  7. 【已解决】IE无法上网、有道云PC客户端无连接
  8. LOJ 6436 [PKUSC 2018] 神仙的游戏
  9. 基于机器学习的技术术语识别研究综述
  10. 微信公众号第三方平台开发笔记--02获取component_verify_ticket