2015.03 vivado,编写了一个仿真vivado simple_ram_dual IP核,想检测下伪双口ram的实际功能。结果报了如下错误

[XSIM 43-3225] Cannot find design unit xil_defaultlib.simple_ram_tb in library work located at xsim.dir/work.

直接说结果,就是忘了写一个输入信号,即input write_en;

额。。。这次的错误跟上次的类似,就是它报的错误很复杂而且迷惑人。以为十多难的问题,上网搜说是库函数编译库什么的,其实都不是。就是代码少写了什么或者没写位数之类的,语法也不报错,就是仿真时报这些乱七八糟的错误,所以大家看到这些错误时别总想着软件的问题,很可能就是自己的代码哪里写错啦

又发现了这个问题,这次以为是IP核的原因,结果是打个比方,

always@(write)

begin

end

reg write;

always@(write)

begin

end

上述就错了,因为reg write应该放在第一个always语句块上面,太低级的错误啦!!!!虽然举个例子有语法错误,但我只是想记录下我的错误方式。代码写多了太容易乱啦,而vivado语法要求又高

[XSIM 43-3225] Cannot find design unit xil_defaultlib.simple_ram_tb in library work located at xsim.相关推荐

  1. [XSIM 43-3225] Cannot find design unit xil_defaultlib.multi_j_tb in library work located at xsim.dir

    最近在写verilog,发现CSDN上很多人都会把自己的学习心得和调试过程上传.我也就效法一下. 在对IP核进行时序的过程中,出现了如题的错误. 并且有一条warning如下 [IP_Flow 19- ...

  2. [XSIM 43-3225]Cannot find tb design unit work. in library work located at xsim.dir /work解决

    进行Vivado 仿真时出现报错xsim[43-3225] Cannot find tb design unit work. in library work located at xsim.dir / ...

  3. 【调用IP宏文件进行仿真】modelsim仿真时出现 Instantiation of 'xxx' failed. The design unit was not found....

    出现错误类似:modelsim 仿真fifo时出现 Error: (vsim-3033) E:/Programs/ModelSim/fifo/ps2_fifo.v(75): Instantiation ...

  4. Modelsim报错“Instantiation of ‘****‘ failed. The design unit was not found.”

    项目场景: 使用Modelsim进行仿真时出现错误:Instantiation of '****' failed. The design unit was not found. 问题描述 在进行仿真测 ...

  5. Vivado Error: (vlog-7) Failed to open design unit file

    Error: (vlog-7) Failed to open design unit file 问题 现象 vivado无法调用外部仿真工具,提示错误:Error: (vlog-7) Failed t ...

  6. Error: (vlog-7) Failed to open design unit file “d:

    Error: (vlog-7) Failed to open design unit file " d:A_2021IC_IEContestFPGALIU22_ethernet_testet ...

  7. Modulesim Error: (vsim-3033) The design unit was not found的解决办法

    找不到模块,有可能是你的模块名称写错了:模块语法有错误,没有编译成功:还有可能是库文件你没有包含进去. 下面讲讲加载库文件的方法.在我的上一篇文章中,已经给出了找到库中特定模块的方法.按照这个方法找到 ...

  8. Error: (vlog-7) Failed to open design unit file “d:/ethe

    看起来是文件占用或者是命名错误,而事实上,这个就是单纯的找不到文件而已--多半是你给的路径不对,或者是.v文件没有后缀之类的小问题.

  9. vivado仿真出错

    vivado仿真时,有时候会出现各种奇怪的错误,错误提示很模糊,有时候是testbanch的语法错误,有时候和路径有关系,或者是电脑防火墙每关闭: 1.如下是路径问题: 提示如下错误:ERROR: [ ...

最新文章

  1. 拦截推送信息_Android10.0公测版H2OS For OnePlus 6T第1版已推送更新
  2. Linux 如何获取PAGE size的大小?
  3. 系统优化怎么做-SQL优化
  4. 绝对强大的三个LINUX指令: AR, NM, OBJDUMP
  5. EGLSurfaces 和 OpenGL ES
  6. java struts2值栈ognl_Struts2的值栈和OGNL牛逼啊
  7. 《linux操作系统》第06章在线测试,Linux系统管理一测试题-附答案.doc
  8. Cygwin 的代理设置(同 Linux)
  9. sas java 虚拟机异常_深入理解JAVA虚拟机之异常诊断
  10. STC学习:定时器和中断
  11. Android Launcher 应用开发
  12. Apache自带的ab压力测试工具用法详解
  13. KODI(原XBMC)二次开发完全解析(一)
  14. 身份证号码正则表达式 阿星小栈
  15. 论文研读-多目标自适应memetic算法
  16. PageRank 计算博客园用户排名
  17. ubuntu 安装 魔霸_ROG 玩家国度 魔霸2怎么安装系统?
  18. 建立里程碑,保证项目进度和质量
  19. 基于多模型融合的用户画像分析统计方法研究
  20. 【单片机学习笔记】(6):字符串处理函数、变量的三种情况、用函数实现模块化程序设计、晶振与延时、指针

热门文章

  1. 吴甘沙清华演讲:大数据的十个技术前沿(完结篇)
  2. 精读论文UNet++: A Nested U-Net Architecture for Medical Image Segmentation(附翻译)
  3. 大气复折射率matlab,Matlab 计算DBR折射率 - 计算模拟 - 小木虫 - 学术 科研 互动社区...
  4. SpringMVC 实战
  5. MacOS 系统如何把PHP版本升级到 7.4或者更高的版本呢,今天教程来啦
  6. python中area是什么意思_python中none表示什么
  7. Rancher 全球化部署最佳实践
  8. 强大多云混合多K8S集群管理平台Rancher入门实战
  9. 关于 SSR、CSR、SSG 网页渲染技术的解关于 SSR、CSR、SSG 网页渲染技术的解读
  10. python之pickle库