设计代码

`timescale 1ns / 1ps
module Test0953(clk,reset,count);
input clk;
input reset;
output [3:0] count;
reg [3:0] count;
parameter zero=0,one=1,two=2,three=3,four=4,five=5,six=6,seven=7,eight=8,nine=9;
reg [3:0] pr_state,nx_state;
///
always @(posedge clk)if(reset)pr_state<=zero;elsepr_state<=nx_state;//
always @(pr_state)case(pr_state)zero:beginnx_state=one;count=4'b0000;endone:beginnx_state=two;count=4'b0001;endtwo:beginnx_state=three;count=4'b0010;endthree:beginnx_state=four;count=4'b0011;endfour:beginnx_state=five;count=4'b0100;end   five:beginnx_state=six;count=4'b0101;endsix:beginnx_state=seven;count=4'b0110;endseven:beginnx_state=eight;count=4'b0111;endeight:beginnx_state=nine;count=4'b1000;endnine:beginnx_state=zero;count=4'b1001;enddefault:nx_state=0;endcase
endmodule

测试代码

`timescale 1ns / 1ps
module Test1006;
reg clk;
reg reset;
wire [3:0] count;always begin#10 clk=1;#10 clk=0;
endinitial beginclk=0;reset=1;#20 reset=0;#4000;end
Test0953 x1(.clk(clk),.reset(reset),.count(count));
endmodule

要求和代码逻辑比较简单,就不放置仿真波形了,可以自己去做仿真看。

Verilog代码BCD计数器相关推荐

  1. 4位BCD计数器Verillog简便代码-无需例化子模块

    4位BCD计数器Verillog简便代码-无需例化子模块 文章目录 4位BCD计数器Verillog简便代码-无需例化子模块 题目要求 Verilog代码 - 不例化子模块 Verilog代码 - 例 ...

  2. 七种计数器总结(格雷码计数器、环形计数器、约翰逊计数器、FLSR、简易时分秒数字秒表|verilog代码|Testbench|仿真结果)

    七种计数器总结 一.可复位/置数计数器 1.1 可复位/置数计数器 1.2 Verilog代码 1.3 Testbench 1.4 仿真结果 二.双向(可加可减)计数器 2.1 双向(可加可减)计数器 ...

  3. 网页版的svn怎样同步代码_学会使用Hdlbits网页版Verilog代码仿真验证平台

    大家推荐一款网页版的 Verilog代码编辑仿真验证平台,这个平台是国外的一家开源FPGA学习网站,通过 "https://hdlbits.01xz.net/wiki/Main_Page&q ...

  4. (24)System Verilog设计十进制计数器

    (24)System Verilog设计十进制计数器 1.1 目录 1)目录 2)FPGA简介 3)System Verilog简介 4)System Verilog设计十进制计数器 1.十进制计数器 ...

  5. 四类九种移位寄存器总结(循环(左、右、双向)移位寄存器、逻辑和算术移位寄存器、串并转换移位寄存器、线性反馈移位寄存器LFSR|verilog代码|Testbench|仿真结果)

    移位寄存器总结 一.前言 二.简单循环左移/右移/双向移位寄存器 2.1 简单循环左移/右移/双向移位寄存器 2.2 verilog代码 2.3 Testbench 2.4 仿真结果 三.逻辑移位与算 ...

  6. 学会使用Hdlbits网页版Verilog代码仿真验证平台

    大家推荐一款网页版的 Verilog代码编辑仿真验证平台,这个平台是国外的一家开源FPGA学习网站,通过 "https://hdlbits.01xz.net/wiki/Main_Page&q ...

  7. 基2-booth乘法器原理及verilog代码

    1.booth乘法器原理 对于一个n位的有符号二进制数B,首位是0则B可以表示为: 首位是1,B[n-2:0]是实际数字的补码,所以可以得到 . 可以得到合并的公式如下所示: 将公式展开: 除了n-1 ...

  8. Verilog实现按键计数器

    Verilog实现按键计数器 文章目录 Verilog实现按键计数器 一.简介 二. 代码实现 三.效果 一.简介 计数器我们都知道,这里我们旨在使用Verilog HDL 来实现按键计数器的操作,功 ...

  9. FPGA驱动OLED Verilog代码 (五)------ 动态显示字符

    一.概述 前面已经介绍了向RAM中写入静态字模数据来显示静态的字符和汉字.接下来实现动态显示字符在OLED屏的不同位置. 动态显示字符的核心就是从ROM中读取字符的字模,但取出来的字模数据如果直接写进 ...

最新文章

  1. Android之linux基础教学之七 中断下半部之软中断
  2. python教学研究_Python程序设计课程教学研究
  3. JS一起学05:Date对象、封闭空间、函数传参和封装、获取非行间样式、字符串操作
  4. 浏览器自动化的一些体会8 访问angular页面的一个问题
  5. E2. Square-free division (hard version) dp + 质因子分解
  6. 送书 | 数据分析如烹小鲜
  7. typecho免申请开发者应用集成第三方登录插件v2.1.2
  8. 使用rust编译linux,在 Ubuntu 上为 CentOS 编译 Rust 程序,
  9. 确保VDI顺利部署 试点项目是关键
  10. Python模拟浏览器向 CSDN发送POST请求的方法
  11. (转载)Linux启动过程详解
  12. Android控件——TextView,EditText
  13. EndNote X8参考文献样式下载与自定义
  14. 西安2020EC游记
  15. URL重定向(跳转)漏洞
  16. 用友U8案例教程成本报表
  17. 看一看golang的官方包:strings
  18. Android中导航栏之溢出菜单OverflowMenu
  19. 5G向5.5G演进,变化是什么?
  20. Unity之引导功能遮罩事件穿透

热门文章

  1. react 翻书效果_React-FlipOver-Counter(日历翻页)
  2. 7.6 曲面及其方程
  3. echarts风向图
  4. 使用python执行uds诊断
  5. 小米应用商店错误日志查看教程(含工具下载)
  6. 关于在vs2012中提示.NET 4.5未在web服务器上注册的解决方案
  7. imx6ull移植openwrt
  8. 智能驾驶 车牌检测和识别(一)《CCPD车牌数据集》
  9. 共探万物智联新模式,第二届中国通信业物联网大会成功召开
  10. syslog默认端口_什么是Syslog默认端口和安全端口以及如何配置它?