前言:

入职不到一周,刚刚了解到团队要做的项目内容,本人也只是FPGA的小白,只用过一些简单的存储芯片、IO和逻辑芯片,对于开发流程也是一知半解。还好在正式开始产生生产力之前,还有一段时间来学习新工具新知识。带我的大哥给了我一块ZC706的评估板,搭载的是ZYNQ-7000的芯片,配置了丰富的存储,IO以及其他外设。

下面就是这块板子的外形图了。(还是很密集的)

我拿到的这块板子是2.0版本的。(除此之外还有其他的版本,eg. 1.2)

除了板子,包装盒内还有一些用于板卡与其他设备(通过ZC706的接口)相连接的数据线、以及电源线等。

关于这块板子的其他资料,原理图、PCB、BOM、各个元件的datasheet等,开发工具(EDA)等非实物资料,全部可以到赛灵思的官网产的品页上面找到。除了这个渠道,也可以在Vivado的Help标题栏下面找到Documentations & Tutorials,在里面同样可以找到丰富的有关产品的资料。

产品页指路:www.xilinx.com/zc706

建议一次只下载一个文件,当然取决于你的网速情况。(开始下载之前,记得注册好xilinx的账号)

有了这些资料之后,就可以开始探索这块评估板了。(总是想叫成开发板)

ZC706评估板学习--小白向(一)介绍与准备工作相关推荐

  1. ZC706评估板IBERT调试中unlock和link问题

    ----写在前面:主要是针对zc706调试ibert的过程中发现unlock和link问题,找到了网上写的比较好的两篇文章,但是描述都有不足的地方,代码也有修改,我这里结合自己踩的坑,把问题和解决方法 ...

  2. zc706开发板的linux开发,第一篇:zc706 开箱及开发环境搭建

    第一篇:zc706开箱及开发环境搭建 1.拿到zc706时的封装箱子,从该工具箱中打开后,将看到内含的器件: 2.其中zc706评估板具体各部分说明如下: 在拿到开发板后,默认开发板上的拨码开关以及跳 ...

  3. zynqpl端时钟_基于ZC706,ZYNQ学习手记(2):用PL端点亮流水灯

    --------------------------------------------------分割线----------------------------------------------- ...

  4. stm32h750电路_基于STM32H750的开发板,可用于评估,学习和开发

    H7系列是ST最新的高性能ARM微处理器产品线,而STM32H750VBT6是H7产品线中性价比最高的产品.它具有H7系列的所有功能,例如Cortex-M7内核,400Mhz主频率,1MB分配SRAM ...

  5. 笔刷怎么做_零基础怎么学板绘?板绘小白必备基础知识

    零基础怎么学板绘?板绘小白必备基础知识!零基础学板绘需要准备什么东西?零基础学板绘需要学习哪些软件?板绘是做电影时要用到的人物场景原画的最重要的绘画方式,也是美术爱好者日常学习和创作的首选方式之一.那 ...

  6. [FPGA] 1、Artix-7 35T Arty FPGA 评估套件学习

    Artix-7 35T Arty FPGA 评估套件学习 1.简介 xlinx官网地址: https://china.xilinx.com/products/boards-and-kits/arty. ...

  7. 涂鸦LZ201-CN开发板学习笔记(一)

    涂鸦LZ201-CN开发板学习笔记(一) 前言 一.引出 二.准备流程 1.购买开发板 2.创建产品 (1)创建产品 (2)功能定义 (3)设备交互 (4)硬件开发 3.检查开发板 第一步: 第二步: ...

  8. 【一牛网科大讯飞】AIUI评估板免费赠?讯飞评测活动告诉你:有戏!

    AIUI推出以来,一直吸引着很多开发者小伙伴的注意力.人机交互解决方案这么棒的评估板,真想自己研究一番.听到小伙伴们的心声,讯飞开放平台带着满满的诚意,携手一牛网发起AIUI评估板评测活动. AIUI ...

  9. 《安富莱嵌入式周报》第313期:搬运机器人,微软出的C语言手册,开源生物信号采集板,开源SMD回流焊,开源SDR无线电,汽车级机器人评估板

    周报汇总地址:嵌入式周报 - uCOS & uCGUI & emWin & embOS & TouchGFX & ThreadX - 硬汉嵌入式论坛 - Pow ...

最新文章

  1. mysql行列转换例子_mysql行列转换示例
  2. 【leetcode】86. Partition List
  3. log4j配置报错:ERROR Failed to load driver.ClassNotFoundException.
  4. 本科生学习fpga,dsp,嵌入式操作系统哪个好
  5. Crawler之Scrapy:数据挖掘必备的scrapy框架之最完整爬取网页内容攻略
  6. 数组中删数(只删一个)
  7. 由胡润百富榜联想到的
  8. 如何构建自己的SIP SERVER!
  9. 容器编排技术 -- Kubernetes kubectl patch 命令详解
  10. 「手把手带你学算法」本周小结!(动态规划系列七)
  11. php复制目录图片,php实现将base64格式图片保存在指定目录的方法
  12. 思科下一代模拟器EVE-NG做一个ASA防火墙简单小实验
  13. 《半小时漫画唐诗》读书摘记
  14. python的jieba分词词性标注
  15. 学习Python,怎能不懂点PEP呢?
  16. 计算机基础,Java介绍和环境搭建及Hello World
  17. ADF Faces Core 标记库信息
  18. MySQL报错 1273 - Unknown collation: ‘utf8mb4_0900_ai_ci‘
  19. 解决:RuntimeError: Expected object of scalar type Int but got scalar type Double
  20. 动手写一个抖音首页的效果

热门文章

  1. 用Altium Designer,AD不专业-EDA工具的选择-PCB系列教程1-2
  2. 【CALIPSO】星载激光雷达CALIPSO产品数据下载教程
  3. 用JAVA编写简单呼叫器餐厅,使用Java编写Palm OS程序的解决方案1
  4. 关于分布式存储,这是你应该知道的
  5. 活动目录 kerberos 身份验证的过程 组策略
  6. Xiaojie雷达之路---毫米波雷达基础知识---一些系统设计的话题
  7. 计算机网络-网络层(IPV4地址,网络转化技术NAT,子网划分和子网掩码,无分类编址CIDR,构成超网,最长前缀匹配)
  8. 国际期货分仓软件 期货分仓系统 子账户系统 期货软件
  9. 分享四个Java低代码快速开发平台贼好用, 私活毕设神器
  10. 广西省南宁市谷歌卫星地图下载