ROM初始化存储文件路径问题

工程联合仿真时出现下列错误。

# ** Error: (vsim-7) Failed to open VHDL file "./VHDL/ROM_WAVE/hann_sin60k.mif" in rb mode.
#
# No such file or directory. (errno = ENOENT)
#    Time: 0 ps  Iteration: 0  Instance: /siggen_vhd_tst/i1/R1/altsyncram_component
# ** Fatal: (vsim-7) Failed to open VHDL file "./VHDL/ROM_WAVE/hann_sin60k.mif" in rb mode.
#
# No such file or directory. (errno = ENOENT)
#    Time: 0 ps  Iteration: 0  Process: /siggen_vhd_tst/i1/R1/altsyncram_component/MEMORY File: D:/altera/13.0/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd
# Fatal error in Process MEMORY at D:/altera/13.0/modelsim_ase/win32aloem/../altera/vhdl/src/altera_mf/altera_mf.vhd line 40237

出现原因是如图所示,ROM存储数据初始化文件路径用的是相对路径,换成绝对路径就没问题了。

Quartus与modelsim联合仿真报错--Fatal error in Process MEMORY相关推荐

  1. 超详细 quartus 新建工程 及 quartus 和 modelsim 联合仿真 以及 modelsim 的简易教程

    文章目录 一.新建工程 1. 新建工程 2. 添加源文件以及ip核并编译 添加代码文件 添加IP核 编译 3. 自动生成testbench文件并添加路径到工程中 生成testbench文件 添加tes ...

  2. quartus和modelsim联合仿真详细教程

    利用quartus和modelsim联合仿真的功能,实现功能产生波形.详细步骤如下: 1.编辑verilog HDL语言 本次拟实现组合逻辑功能,其代码如下: 此为一组合逻辑电路,其原理图可在quar ...

  3. Mysql报错Fatal error:Can't open and lock privilege tables

     Mysql报错Fatal error: Can't open and lock privilege tables: Table 'mysql.host' doesn't exist 安装mysql后 ...

  4. 安装Python3.5后,pip报错Fatal error in launcher: Unable to create process using ‘“‘解决方案

    安装Python3.5后,pip报错Fatal error in launcher: Unable to create process using '"'解决方案 参考文章: (1)安装Py ...

  5. 编译R3LIVE报错: fatal error: livox_ros_driver/CustomMsg.h: 没有那个文件或目录 4 | #include <livox_ros_driver/

    安装编译了livox_ros_driver和livox_SDK,在编译R3LIVE算法时,遇到报错 fatal error: livox_ros_driver/CustomMsg.h: 没有那个文件或 ...

  6. 启动jupyter notebook报错fatal error C1083: Cannot open include file: ‘sys/un.h‘: No such file or directo

    启动jupyter notebook报错fatal error C1083 太久没用jupyter notebook,更新了Anaconda的base环境的jupyter库,而没有更新之前安装的其他内 ...

  7. (linux)mongodb启动报错【ERROR:child process failed, exited with error number 1】

    这里写自定义目录标题 mongodb启动报错 报错提示 处理方法 mongodb启动报错 报错提示 ERROR:child process failed, exited with error numb ...

  8. Quartus与Modelsim联合仿真ROM IP时输出波形一直为零的问题以及ROM配置仿真教程

    本人近期在使用Quartus Prime与 ModelSim联合对ROM IP进行仿真时,遇到了一个问题,仿真输出波形一直为零.如下图,其中neur_W即为ROM的输出. 在反复确认本人代码没有问题后 ...

  9. Quartus与ModelSim联合仿真启动ModelSim失败(已解决)

    今天学习使用Quartus启动ModelSim对rtl文件进行仿真,RTL simulation报错,无法启动ModelSim: 看了很多博客,可以在ModelSim的directory结尾加\,但我 ...

最新文章

  1. Docker应用:Kubernetes(容器集群)
  2. Spring Boot 解决跨域问题的 3 种方案
  3. 【推荐】最全的积分墙基础知识大全:积分墙渠道运营那些事儿
  4. 【NLP】使用NLP检测和对抗AI假新闻
  5. eclipse android开发环境搭建_聊聊Spring boot2.X开发环境搭建和基本开发
  6. 浅谈.Net Core DependencyInjection源码探究
  7. [道理]关于人生的,很不错!
  8. c语言初始化字符数组为空,怎么把已经初始化的字符数组设置为空?
  9. 人工智能python课程总结1500字_李开复的《人工智能》读后感1500字
  10. JAVA菜鸟入门HelloWorld
  11. 漫步凸分析七——凸函数闭包
  12. F2FS文件系统实现分析及其在移动设备上的优化
  13. (TeamTalk服务端源码分析一)TeamTalk服务端部署
  14. Ubuntu 18.04安装c++版OpenCV4
  15. Ubuntu16.04 安装Redis哨兵模式
  16. python爬取苏宁易购--jsonpath方法
  17. 新开的淘宝店铺如何运营与推广
  18. qq扫描用户文件传腾讯服务器,QQ被传窃取用户浏览器隐私,腾讯称为判断恶意登录而读取的数据...
  19. python复制word中的内容,包括格式、图片、文字
  20. 我的第一个Android应用BMI-深入浅出Android

热门文章

  1. C++游戏 Alive系列 图鉴少量攻略
  2. JAVE获取视频文件属性信息(比较全)
  3. 【HEC-RAS】入门基础案例练习-一维混合流水面线计算
  4. 2020Java初级面试题一Web+SringMVC
  5. Android绘图Canvas十八般武器之Shader详解及实战篇(下)
  6. 废品回收微信小程序源码系统标准版开发简要说明
  7. DirectX 9.0 (4)环境光和反射光
  8. Solr的工作原理(最直白的解释,简单易懂)懂?
  9. egg koa 中间件执行原理,洋葱模型原理
  10. 用java Swing做的小游戏quot;像素鸟quot;