本文的主要内容是介绍 Vivado 2018.3 版本的安装步骤及其 license 的获取与加载。
首先下载安装包,将其在没有中文的路径下解压。注意在解压前最好关闭电脑的杀毒软件,防止某些文件被拦截或者删除!
解压完成后打开文件夹,在最底部双击安装应用程序,如下图所示。

在欢迎界面点击 Next,如下图。

勾选三个 I Agree,然后点击 Next。

在版本选择这里勾选第三项 Vivado HL System Edition,因为该版本是最全的,然后点击 Next。

可以看到,如果默认安装的话,占用的磁盘空间有35.68GB,还是很大的。

这里可以取消勾选几项暂时用不到的(根据自己的需求),如下图,磁盘占用要求就下降了不少。

接下来选择软件安装路径, 建议选择除C盘以外的其他盘符,注意这个路径不要出现中文且不带特殊符号,我这里安装在D盘下。

下图是安装总结界面,看一下没有问题就点击Install。

接下来等待其安装就行,这个安装的时间长短跟电脑的性能也有关系。

注意这个过程中电脑要断开USB下载器,如果有连接的话,要拔下来。在安装的过程中可能会弹出下面的对话框,点击取消即可。

也会弹出和Matlab相关的对话框,点击OK,我电脑此时还没安装Matlab,因此点击后会显示找不到,自动进入后续步骤。如果你的电脑装了Matlab,也先不要选择。

如下图,到这里 Vivado 就安装成功了。

但是还有一个许可管理对话框,没有license的话只能免费使用30天。

license的获取可以参考文章 vivado2018.3安装注册指南。
下载该license后将其解压保存到某一个文件夹下,然后点击 Load License,选择 Copy License

找到刚才下载license的存放路径,选择即可,如下图所示,license就安装成功了!

点击View License Status查看一下license的状态,显示是Bought的话就表示我们的license起作用了!

到这里 Vivado 的安装就彻底结束了,接下来就可以打开软件使用了!

以上就是Vivado 2018.3 安装步骤及 license 获取的全部内容了!
参考视频:
Vivado软件的安装
参考文章:
vivado2018.3安装注册指南

Vivado 2018.3 安装步骤及 license 获取相关推荐

  1. [Software]Vivado 2018.2 安装及激活教程

    一.安装 1. 解压文件 注:要将压缩文件解压至无中文的路径中. 2. 双击"xsetup.exe"文件,开始安装 3. 不选择新版本,选择继续安装此版本 4. 点击"N ...

  2. 高云下载、安装与免费License获取

    高云提供免费的软件,在此分享一下如何下载安装. 高云下载 在官网http://www.gowinsemi.com.cn/faq.aspx ,找到云源软件 for win(V1.9.8),点击下载.其他 ...

  3. Vivado 2018.3 安装后不能检测到JTAG

    安装环境:Windows 10 软件版本:Vivado 2018.3 现象:安装完软件后,Vivado扫描不到芯片,连扫描的进度条都没有,日志中显示不能连接.SDK烧写镜像文件时报错,显示检测不到JT ...

  4. vivado 2017.4安装步骤

    目录:windows安装vivado2017.4:虚拟机ubuntu安装vivado 2017.4:ios安装vivado. 一,windows安装vivado2017.4 xilinx官网下载地址为 ...

  5. FPGA开发软件(vivado + modelsim)环境搭建(附详细安装步骤+软件下载)

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片. 一.软件安装包下载 1.vivado vivado版本很多,目前 ...

  6. Pycharm 2018安装步骤详解

    安装步骤: 安装前先关闭杀毒软件和360卫士,注意安装路径不能有中文,安装包路径也不要有中文. 试装系统:win10 64bit 1.解压安装包. 2.以管理员身份运行安装程序. 3.点击NEXT. ...

  7. Ubuntu22.04上安装Xilinix Vivado 2018.3

    OpenWiFi的默认编译仿真工具是Xilinx Vivado 218.3.在Ubuntu22.04 LTS上安装Xilinx Vivado 2018.3之前,首先要安装如下的库 sudo apt-g ...

  8. [Unity实战]Xlua插件安装步骤(基于2018.3Unity)

    Xlua安装步骤(基于2018.03Unity) 1.拷贝Xlua文件 把Assets文件夹和Tools拷贝放入unity自己创建好的项目 2.打开Unity 执行Xlua里面的命令 执行Genera ...

  9. Vivado:【1】Vivado 2018.3 配置ModelSim仿真

    碎碎念: 想要之后尽量将更新频率提高一些!_(:з)∠)_ 众所周知Vivado其实自带了仿真工具Vivado Simulator,但是使用起来有些卡顿和延迟(尽管UI非常好看). 由于Vivado本 ...

最新文章

  1. Flex使用cookie保存登状态
  2. leetcode算法题--计算各个位数不同的数字个数
  3. prerenderspaplugin 打包完成后如何让百度收录_如何提高网站流量、排名?
  4. Vector找最大值 最小值
  5. LeetCode Algorithm 572. 另一棵树的子树
  6. OSPF-1-OSPF的数据库交换(4)
  7. pre-commit钩子,代码质量检查
  8. 编译openjdk时cygwin需要下载的内容
  9. 玩转计划任务命令:schtasks
  10. [Unity][AssetStore][PacakageManager]2020.1版本后的AssetStore如何下载资源并导入编辑器以及Error refreshing packages解决办法
  11. pso粒子群优化算法+MATLAB代码
  12. 一个很好用的angularjs日期插件
  13. Chrome google浏览器从缓存下载视频
  14. iphone出现android文件夹,为什么iPhone仍限制每个文件夹页面9个应用程序? | MOS86...
  15. 腾讯云直播生成 推流地址 拉流地址
  16. 俄勒冈健康与科学大学计算机,GE 医疗合作俄勒冈健康与科学大学,赋能虚拟ICU...
  17. 笔记本通过网线连接台式机共享网络
  18. VMware虚拟机ubuntu指定使用主机的wifi无线网卡
  19. 父亲发现高三女儿早恋 机智做法让网友惊呆
  20. 计算机网络重要知识点

热门文章

  1. 基于vant的移动端黑马头条知识点总结
  2. python 希尔伯特变换_Python在信号与系统中的应用(1)——Hilbert变换,Hilbert在单边带包络检波的应用,FIR_LPF滤波器设计,还有逼格高高的FM(PM)调制...
  3. 2018年蓝桥杯C++A组幸运数字
  4. #VCS# 关于加速仿真的考虑方向
  5. 电子哨兵硬件的防静电设计
  6. MEION:QAM编解码判决方法
  7. WLAN1:AC6005组网配置实验
  8. input输入框如何处理中英文输入
  9. 基于Xilinx XCZU9EG与ADRV9009 开源无线通信算法验证平台
  10. 硬刚ChatGPT!文心一言能否为百度止颓?中国版ChatGPT“狂飙”的机会在哪儿?