今天要介绍的数字后端基本概念是boundary cell,也被称为endcap Cell。Endcap是一种特殊的标准单元。在后端物理设计中,除了与,非,或等一些常见的标准单元外,还有一些特殊的物理单元(physical cell),它们通常没有逻辑电路,不存在与netlist当中,但是对整个芯片的运行,稳定却起着举足轻重的作用。那endcap cell就是其中一种,它俗称为拐角单元,作用是确保每个nwell都是nwell enclosed,类似一个封闭环。主要加在row的结尾(两边都要加) , 以及memory 或者其他block的周围包边,如下图所示:


使用方法:

命令

create_boundary_cells

使用前需要指定放在left_boundary,right_boundary,top_boundary,bottom_boundary等cell,可以查询所用工艺库的工艺手册,如下图所示:

create_boundary_cells \-left_boundary_cell $left_boundary_cell \-right_boundary_cell $right_boundary_cell \-top_boundary_cells $top_boundary_cells \-bottom_boundary_cells $bottom_boundary_cells \-top_right_outside_corner_cell $top_XXX_cell \-top_left_outside_corner_cell $top_XXX_cell \-bottom_right_outside_corner_cell $bottom_XXX_cell \-bottom_left_outside_corner_cell $bottom_XXX_cell \-bottom_left_inside_corner_cells $bottom_XXX_cells \-bottom_right_inside_corner_cell $bottom_XXX_cell \-top_left_inside_corner_cell $top_XXX_cell \-top_right_inside_corner_cell $top_XXX_cell \-prefix "ENDFILL" \-separator "_"

数字后端基本概念介绍<Endcap Cell>相关推荐

  1. 数字后端基本概念介绍<site>

    今天我们要介绍的数字后端基本概念是site.site代表最基本的布局单元.site一般情况是最小standard cell的大小.类似cell的最小size.定义在 lef中, 如下图所示: SITE ...

  2. 数字后端基本概念介绍——Row

    今天要介绍的数字后端基本概念是Row.我们知道row是表征Floorplan横向排列的一个重要网格,可以将它解释为"排",它对std cell的摆放起着限制约束作用.Row是pla ...

  3. 数字后端基本概念介绍——Track

    今天要给大家介绍的数字后端基本概念是Track.Track是指走线轨道,和row一样,可以约束走线器的走线方向.信号线通常必须走在track上.Std Cell的高度通常用metal2 track p ...

  4. 数字后端基本概念介绍——Placement Blockage

    今天要介绍的数字后端基本概念是Placement Blockage. Placement blockage是大家在floorplan时经常用的一种人为约束.可以有效控制区域的density.从而避免c ...

  5. 数字后端基本概念介绍<Tap Cell>

    今天要介绍的数字后端基本概念是Tap cell, 也被称为welltap cell.这也是一种特殊的物理单元. welltap是只包含well contact的cell,将衬底接到电源和地网络,避免衬 ...

  6. 数字后端基本概念介绍——Pin Blockage

    今天我们要介绍的数字后端基本概念是Pin Blockage(引脚阻碍物).这是一种pin constraint,用于Pin assignment中.从名字中大家也能理解,带有pin blockage的 ...

  7. 数字后端基本概念介绍Relative Floorplan

    今天要介绍的数字后端基本概念是Relative Floorplan.这是一种Floorplan的相对约束,它可以在一个reference object和target object之间产生relativ ...

  8. 数字后端基本概念介绍——FinFET Grid

    今天要介绍的数字后端基本概念是FinFET Grid,它也是一种设计格点.介绍该格点前,我们首先来了解一下什么是FinFET技术. FinFET称为鳍式场效晶体管(Fin Field-Effect T ...

  9. 数字后端基本概念介绍——Macro

    今天要介绍的数字后端基本概念是Macro,中文名宏单元.这是设计中最常见的一个单元了,Macro是一个宽泛的概念,通常我们把它分为硬核(Hard Macro)和软核(Soft Macro).硬核就是我 ...

最新文章

  1. GOROOT、GOPATH
  2. [Linux]Shell的运算符和特殊变量
  3. SpringMVC(三)——JSON
  4. springboot Field userInfoInter in com.**.** required a bean of type ‘***.**‘
  5. 拥有属于你的“尬聊”机器人
  6. 通州区机器人比赛活动总结_马驹桥镇中心小学在2017通州区青少年机器人竞赛中勇创佳绩...
  7. opengl java_android graphic(20)—java层OpenGL相关类
  8. linux awk 脚本格式,偷偷学习shell脚本之awk编辑器
  9. WPF in Finance
  10. 高程数据处理_全国12.5米高程 DEM for WeServer 数据1.0
  11. 【Windows10_1809 + Ubuntu_18.04】+【SSD + HDD】双硬盘双系统装机心得分享
  12. 如何将风险应用加入白名单_vivo手机怎么把风险应用添加到白名单
  13. Linux磁盘列阵(RAID),包括RAID详解、Linux磁盘列阵和mdadm命令的相关操作
  14. 昆石VOS3000_2.1.4.0安装脚本
  15. 锂离子电池热失控的机理
  16. 怎么把PDF调方向保存?这几个方法值得收藏
  17. 原生Vue实现二维码扫一扫,兼容PC、安卓、IOS
  18. iphonex 底部遮挡返回键 解决方法
  19. 现代家用计算机有哪些名称,世界上第一台现代电子计算机是什么?
  20. 微信小程序一些常用标签与html的对应关系

热门文章

  1. warning: refname ‘HEAD‘ is ambiguous解决方法
  2. python字典实现原理-哈希函数-解决哈希冲突方法
  3. MikroTik RouterOS 6.x版本开始支持使用img镜像安装(U盘安装的终极解决方法)
  4. IntelliJ中可能的东西在Eclipse中是不可能的?
  5. Scala的所有符号运算符都意味着什么?
  6. 为什么结构的sizeof不等于每个成员的sizeof之和?
  7. Windows linux子系统安装mysql
  8. win11快捷键失效怎么办 windows11快捷键失效的解决方法
  9. ros开发增加clion常用模板及初始化配置(六)
  10. linux共享内存 dest,关于linux 共享内存查看已经完整释放