前言

经过前几次的实验,我使用了74ls192等一系列芯片,今天给大家推荐一个超级好用,经济实惠的芯片74HC393,可以说专为数字钟而设计,特别适合焊出作品,下面给大家讲解一下,当然有兴趣的可以看看之前的74HC192版本的数字钟。

一.任务解析

设计一个能显示“时”、“分”、“秒”的电子钟(23 小时59分59秒),并具有校时功能。

二.电路仿真设计

该设计可以自制信号发生器,如通过555芯片制作一个多谐振荡器,显示部分通过CC4511芯片译码后接入共阴极LED(7SEG-COM-CATHODE)显示,计数部分采用二进制计数器74HC393,通过层层进位,实现数字钟的正常运行,而人工校时则可在进位间加一个或非门来实现。整体系统框图如下图1所示:

图1 数字钟原理系统框图
仿真电路图如下图2所示:

图2 仿真原理图

1、信号发生模块

该模块是采用555定时器和外接元件电阻R和电容C构成的多谐振荡器,脚2和脚6直接相连,它将自激发,成为多谐振荡器。外接电容C通过RA+RB充电,再通过RB放电,在这种工作模式中,电容C在UCC/3和2UCC/3之间充电和放电,
其充电时间(输出为高态)为:t1=0.693(RA+RB)C,
放电时间为: t2=0.693RBC,
周期为: T=t1+t2=0.693(RA+2RB)C,
而振荡频率为: f=1/T=1.43/((RA+2RB)C)
如下图3,代入电阻的值 RA=RB=10kΩ,C1=47uf,
则T=t1+t2=0.693(RA+2RB)C=0.693(10k+20k)4710-6=0.97713s,f=1/T=1.43/((RA+2RB)C)=1/0.97713=1.0234HZ,约等于1HZ。

图3 信号发生模块

2、六十进制显示“秒”(“分”)模块

秒的六十进制计数模块如图4所示,其中首先考虑秒个位到十位的的进位问题,秒个位在到10(1010)的时候需要向秒十位进位,清零秒个位。当9(1001)变为10(1010)时,我们需要在显示10(1010)的时候进行清零(MR),10的二进制码是1010,从1(0001)、2(0010)、3(0011)、4(0100)、5(0101)、6(0110)、7(0111)、8(1000)、9(1001)跳变时,我们可以借用10(1010)二进制码中间两个1的唯一确定性,接入与门(如图4),当显示10(1010)时与门输出1,即秒个位清零端(MR)和秒十位时钟输入端(CLK)输入1从而达到瞬时清零和进位的效果。
显示“分”模块和“秒”模块一样,秒到分的进位原理与秒个位到秒十位的进位类似。

图4 秒计数模块

3、二十四进制显示“时”模块

秒的六十进制计数模块如图5所示,进位与上述分秒类似,不同的是当“时”满24的时候,我们要同时置零时个位和十位。就需要在2(0010)和4(0100)同时满足时将24置零,所以需要用一个与门,将与门两个输入端分别接到时十位的Q1脚和个位的Q2脚,而与门的输出端(为1)直接接入时十位的MR清零端,达成时十位D的清零,由于时个位需要在“10”和“24”的时候清零,所以应该用一个或门将时个位到时十位的进位端和清零信号连接后接入时个位清零端实现时的清零。

图5 “时”显示模块

4、校时模块

要实现分校时,只需在秒到分的进位断接入开关,利用一个或非门,如下图6所示,实现手动进位的功能。

图6 校时模块

三.作品制作

根据仿真电路图,选择器件共阴极七段数码管、CD4511BE译码器、MC74HC393N计数器、与门74HC08、或非门74HC02以及非门74HC04,还有NE555定时器和电阻、电容。使用洞洞板焊接出实物作品,由于时间和洞洞板大小等限制,实物仅做出分和时。制作过程如下图所示:

成品(背面)

成品(正面)

四.效果展示


23分59秒

0时0分,进位成功

五.经验总结

本次数字钟设计,从完成电路设计仿真,到购买器材,从开始焊接到焊出成品,出现问题到检查电路,发现问题到解决故障,最终完成作品,我学到了很多。最开始焊接时,由于使用的电烙铁温度太高并且操作不熟练,频频将洞洞板烧坏变黑,本来有的信心变成了怀疑自我,还好后来找到了好用的电烙铁而且操作渐渐熟练起来。焊完后怕烧坏器件,我向同学请教了如何正确的使用万用表检查电路,最终连接电源,出现进位错误,然后学会分析问题,快速的找到问题根源所在,然后成功解决问题。最终完成作品,很有成就感,但也觉得存在一些不足,电路焊接还不够完美,电路设计也存在缺陷,按键未消抖,导致校时不太灵敏。可以进一步完善。

2019/12/26
会水的芝麻
湖北师范大学

数字钟课程设计(74HC393版)相关推荐

  1. eda多功能数字钟课程设计_《多功能数字钟》EDA实验报告

    <EDA课程设计> 1.摘要 实验报告 多功能数字钟 姓 名: 学 号: 联系方式: 成 绩: 在当代,随着人类社会进入到高度发达的信息化社会.信息技术的发展起着越来越大的作用,它几乎涉及 ...

  2. 外部中断器微型计算机课程设计,基于51单片机汇编语言的数字钟课程设计报告(含有闹钟万年历)...

    上图的"检测开关值→判断并显示→检测开关值"的循环时间大约为10ms,即每隔10ms检测一次开关值,每个数码管接收到的电压信号占空比大约为1/7,确保数码管正常显示.三个中断源中断 ...

  3. 大二c语言数电课程设计,数电课程设计报告(数字钟的设计).doc

    数电课程设计报告(数字钟的设计).doc 数电课程设计报告 设计背景与要求 设计要求 系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章 单元电路设计与分析 3.1各单元 ...

  4. VHDL数字钟的设计与制作

    设计主要内容:本课程设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法.划分模块来设计数字钟的几个模块.通过课程设计深入理解计算机的基本原理和方法,加深对计算机组成的理解. 摘 要 系统 ...

  5. 速算C语言程序设计,C语言速算24数据结构课程设计最终版(备份存档)

    <C语言速算24数据结构课程设计.doc>由会员分享,可免费在线阅读全文,更多与<C语言速算24数据结构课程设计(最终版)>相关文档资源请在帮帮文库(www.woc88.com ...

  6. 电子秤c语言编程,基于AT89C51的数字电子秤的设计最终版(样例3)

    <基于AT89C51的数字电子秤的设计.doc>由会员分享,可免费在线阅读全文,更多与<基于AT89C51的数字电子秤的设计(最终版)>相关文档资源请在帮帮文库(www.woc ...

  7. 数字逻辑之数字时钟课程设计(含proteus仿真图及代码)

    一.设计要求 1.设计一个能显示日期.小时.分钟.秒的数字电子钟,并具有整点报时的功能.  2.可手动校正时.分时间和日期值,时间以24小时为一个周期,有校时功能,可以分别对时及分进行单独校时,使其校 ...

  8. 猜数字小c语言游戏课程任务书,猜数字游戏课程设计.doc

    猜数字游戏课程设计.doc 1(c/c程序设计课程设计)设 计 说 明 书猜数字游戏的设计与实现学 生 姓 名学 号班 级成 绩指 导 教 师计算机科学与技术系2010 年 7 月 9 日C/C程序设 ...

  9. 万年历单片机课程设计百度文库_单片机电子万年历课程设计最终版(样例3)...

    <单片机电子万年历课程设计.doc>由会员分享,可免费在线阅读全文,更多与<单片机电子万年历课程设计(最终版)>相关文档资源请在帮帮文库(www.woc88.com)数亿文档库 ...

最新文章

  1. DSP的EMIF接口通信FPGA
  2. MyBatis运行原理(一)SqlSessionFactory对象创建过程分析
  3. 如何用chrome查看post get及返回的数据
  4. java 打印心形图案_简单漂亮的心形礼品盒折纸手工教程
  5. UI5 plugin - uploadCollection
  6. java ee jsp_EE JSP:Servlet的反向外套
  7. ubuntu下vscode使用cmake编译运行c++配置文件
  8. zooland 新开源的RPC项目,希望大家在开发的微服务的时候多一种选择,让微服务开发简单,并且容易上手。...
  9. 牛客多校第二场 G transform
  10. SQL Sever 常用语句总结
  11. Craking the Interview-1
  12. 20130418定义全局变量
  13. 安全加密 - DEP, ASLR
  14. 记录进行Uniprot转化为Entrez ID的过程
  15. 洛谷——P1957 口算练习题
  16. Android强行进阶,自定义控件—LayoutManager,技术协会安卓部面试
  17. python的数据处理
  18. Linux 文件夹右下角有锁,解锁
  19. FPGA 20个例程篇:9.DDR3内存颗粒初始化写入并通过RS232读取(下)
  20. 2021Android面经,历时一个半月,斩获3个大厂offer

热门文章

  1. Linux NFS 共享及权限控制
  2. 力扣 118. 杨辉三角 C语言实现
  3. 思维导图 XMind 8 Update 7的激活教程
  4. php 内容超出用省略号,让超出的文字显示为省略号
  5. matlab parpool 报错,Matlab并行计算1
  6. C语言中将字符串转换为数字的方法
  7. 最小二乘法-多项式拟合曲线
  8. windows编程 文字与字体
  9. java解析grib2_读取grib2的两个方案
  10. 双速率100G QSFP28 LR4光模块的介绍及应用