ASIC和SOC设计的流程相近。ASIC主要是在PC端,现在SOC是主流。

一、需求分析

产品要解决什么问题,预测未来3-5年的走势和趋向,确保芯片是有卖点和前瞻性,面向未来。

客户向fabless提出设计要求,包括芯片需要达到的具体功能和性能方面的要求。需求分析后生成文档,性能指标,需求展望spec,让架构师可以进行细化。

二、功能架构设计

架构师将系统功能进行分解和细化,形成spec规范,参数化、具体化。

处理器的选择:ARM、RISC-V;总线接口:AHB、APB;功能模块,是否需要DMA;

性能参数:引脚选择,电压频率、工艺选择、功耗和温度范围。

软硬件功能的划分:

三、RTL编码

使用硬件描述语言(VHDLVerilog HDL)将模块功能以代码来描述实现。

语言输入工具:

  • Summit 公司的 VisualHDL

图形输入工具:

  • Cadence的composer

四、仿真验证(前仿)

功能仿真,对RTL级的代码进行设计验证,检验编码设计的正确性,是否满足规格中的所有要求。

仿真工具:

Verilog HDL:

  • Mentor公司的Modelsim
  • Synopsys公司的VCS

五、逻辑综合

基于特定的综合库,设定电路在面积、时序等目标参数的约束条件,将设计的RTL级代码映射为门级网表netlist。

逻辑综合工具:Design Compiler

六、STA静态时序分析

时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例。

STA工具:

  • Synopsys的Prime Time。(PT)

七、形式验证 Formality

从功能上对综合后的网表进行验证,将综合后的网表与验证后的HDL设计对比,看他们是否在功能上存在等价性,保证逻辑综合过程中没有改变HDL描述的电路功能。

后端的流程

一、DFT

可测性设计,在设计中插入扫描链。

DFT工具:

  • BSCAN技术– 测试IO pad,主要实现工具是:Mentor的BSDArchit、sysnopsy的BSD Compiler;
  • MBIST技术– 测试mem,主要实现工具是:Mentor的MBISTArchitect 、Tessent mbist;
  • ATPG 技术– 测试std-logic,主要实现工具是:产生ATPG使用Mentor的 TestKompress 、synopsys TetraMAX,插入scan chain主要使用synopsys 的DFT compiler。

二、布局规划

放置芯片的宏单元模块,影响芯片的最终面积,IP模块、RAM、I/O引脚等摆放位置。

IC Compiler

三、时钟树综合

时钟的布线,时钟的分布应该是对称式的连接到各个寄存器单元,从而使时钟从同一个时钟源到达各个寄存器时,时钟延迟差异最小。

四、布线

将前端提供的网表实现成版图,包括各种标准单元之间的走线。

五、寄生参数提取

由于导线本身存在的电阻,相邻导线之间的互感、耦合电容在芯片内部会产生信号噪声、串扰和反射。提取寄生参数进行再次分析验证,分析信号完整性问题。

六、物理版图验证

对布线完成的版图进行功能和时序上的验证

LVS:版图和逻辑综合后的门级电路图对比验证

DRC:设计规则检查,检查连线间距,连线宽度。

soc芯片架构图

具体参见集成电路创新创业大赛的ARM软核移植搭建的 soc平台

1数字电路设计流程与SOC芯片架构图相关推荐

  1. 【数字IC验证快速入门】2、通过一个SoC项目实例,了解SoC的架构,初探数字系统设计流程

    导读:作者有幸在中国电子信息领域的排头兵院校"电子科技大学"攻读研究生期间,接触到前沿的数字IC验证知识,旁听到诸如华为海思.清华紫光.联发科技等业界顶尖集成电路相关企业面授课程, ...

  2. soc验证 c语言,一种Soc芯片验证方法与流程

    本发明涉及Soc芯片设计及制造领域,特别涉及一种Soc芯片验证方法. 背景技术: 随着设计与工艺技术的不断发展,集成电路设计的规模越来越大,复杂度越来越高,为了缩短芯片的上市时间,节约开发成本,多家I ...

  3. 嵌入式学习(二)之SoC芯片的开发流程

    SoC芯片的开发流程 SoC芯片开发流程大致分为四个阶段,其中大部分工作都是借助于电子设计自动化(EDA)工具完成的. 总体设计 总体设计阶段的任务是按照系统需求说明书确定SoC的性能参数,并据此进行 ...

  4. 数字电路设计资料目录内容

    ┃  ┣━数字集成电路350G教程和EDA工具 ┃  ┃  ┣━数字集成电路视频教程和资料_设计和验证 ┃  ┃  ┃  ┣━数字后端视频教程和配套资料 ┃  ┃  ┃  ┃  ┣━oc8051项目脚 ...

  5. 《SOC芯片研究框架》深度科普,发展趋势、技术特点、产业链一文看懂

    片上系统SoC(System on Chip),即在一块芯片上集成一整个信息处理系统,简单来说 SoC芯片是在中央处理器CPU的基础上扩展音视频功能和专用接口的超大规模集成电路,是智能设备的" ...

  6. 如何通过设计验证让SoC芯片流片成功

    原文并没有介绍后仿.即netlist的presim/postsim(presim是未经过后端布局布线的netlist,sdf文件由PrimeTime产生:postsim是经过后端布局布线的netlis ...

  7. 《FPGA入门教程》看书随笔——数字电路设计入门

    1.数字电路设计的核心是逻辑设计.数字电路的逻辑值只有'1'和'0',表征的是模拟 电压或电流的离散值,一般'1'代表高电平,'0'代表低电平. 2.当前的数字电路的电平标准常见的有:TTL.CMOS ...

  8. 交流信号叠加直流偏置_高速数字电路设计通关五部曲(二):接口信号匹配与对接...

    昨天分享了高速数字电路设计的基本概念和常见高速电路及特点(若需回顾,请戳下方链接).今天来看看高速数字电路的接口信号匹配与对接. 这是一个连接:  高速数字电路设计通关五部曲(一) 基本概念+常见高速 ...

  9. 【数字IC基础知识1之数字芯片设计流程

    一,数字芯片设计流程 数字芯片设计分为前端设计和后端设计,其中前端(又称逻辑设计)包括以下部分: 1,需求分析 2,功能架构设计 3,RTL代码设计 4,功能仿真验证(前仿) 5,逻辑综合 6,静态时 ...

  10. 汽车SoC芯片IP供应商

    汽车SoC芯片IP供应商 汽车IP主要包括接口IP.存储IP.处理操作IP以及安全IP.细分开来看,在接口IP方面,目前主流的是1Gbps的Ethernet TSN,未来汽车以太网将迁移到2.5Gb. ...

最新文章

  1. python tensorflow教程_TensorFlow入门教程TensorFlow 基本使用T
  2. 智课雅思词汇---五、优词词根字典
  3. 解决Dependency ‘xxxx‘ not found
  4. Memcache存储大数据的问题
  5. matlab字符串操作总结
  6. 张朝阳直播做饭上演“吃播”,“Charles的好物分享”探索带货形式新边界
  7. 阿里巴巴(alibaba)系列_druid 数据库连接池_监控(一篇搞定)记录执行慢的sql语句...
  8. 【Oracle】恢复临时表空间数据文件
  9. CPU,内存, 硬盘,指令之间的关系
  10. 文献管理三剑客之noteexpress endnote 资源和论文的搬迁备份
  11. CAD图纸可以约束转换后图纸格式的大小吗?
  12. svn 管理 mysql_svn web页面管理svnadmin部署
  13. C专家编程电子书pdf下载
  14. html 滑动条 实时计算,滑动条:拖动滑块改变进度
  15. Web前端农历/阴历转换
  16. 干碳纤维 湿碳纤维_纤维状网站故障不是一种选择
  17. 操作系统开发系列—13.i.进程调度 ●
  18. Linkedin如何避免封号及如何高效管理领英账号
  19. 5G时代App和小程序是否会逐渐消失?
  20. 利用Grafana为你的Loki添加告警

热门文章

  1. 美图秀秀怎么无缝拼图
  2. python爬公众号图片,Python爬虫实例:爬取微信公众号图片(表情包)
  3. IPv6地址、单播、多播/组播介绍
  4. excel快速便捷批量填充
  5. 蔡凯龙:跨界是一种寻求人生宽度的方式
  6. 维基百科Wikipedia镜像网站列表
  7. 如何理解“安全的本质是信任问题”
  8. Flask框架flash消息闪现学习与优化符合闪现之名#华为云·寻找黑马程序员#
  9. JAVA操作FTP(FTP工具类)
  10. 挖矿病毒入侵服务器(没有解决,重置服务器了)