对于设计者来说,我们当然希望我们设计的电路的工作频率(在这里如无特别说明,工作频率指FPGA片内的工作频率)尽量高。我们也经常听说用资源换速度,用流水的方式可以提高工作频率,这确实是一个很重要的办法,今天我想进一步分析该如何提高电路的工作频率。

我们先分析一下是什么影响了电路的工作频率。

我们电路的工作频率主要与寄存器到寄存器之间的信号传播时延及clock shew有关。在FPGA内部如果走长线的话,clock skew很小,基本可以忽略,在这里为了简单起见,我们只考虑信号的传播延时的因素。信号的传播延时包括寄存器的开关延时、走线延时、经过组合逻辑的延时(这样划分也许不是很准确,不过对分析问题来说应该是没问题的),要提高电路的工作频率,我们就要从这三个时延中做文章,使其尽可能小。

我们先看开关时延,这个时延是由器件物理特性决定的,我们没有办法去改变,所以我们只能通过改变走线方式和减少组合逻辑的方法来提高工作频率。

1. 通过改变走线的方式减少时延。
我们通过综合器加适当的约束(不可贪心,一般以加5%裕量较为合适,比如电路工作在100MHz,则加约束到105MHz就可以了,贪心效果反而不好,且极大增加综合时间)可以将相关逻辑在布线时尽量步的靠近些,从而减少走线的时延。(注:约束的实现不完全是通过改进布局布线方式去提高工作频率,还有其他的改进措施)

2. 通过减少组合逻辑的方式减少时延
我们知道,目前大部分FPGA都是基于4输入LUT的,如果一个输出对应的判断条件大于四输入的话就要由多个LUT级联才能完成,这样就引入一级组合逻辑时延,我们要减少组合逻辑,无非就是要输入条件尽可能的少,这样就可以级联的LUT更少,从而减少了组合逻辑引起的时延。

我们平时听说的流水就是一种通过切割大的组合逻辑(在其中插入以及或多级D触发器,从而使寄存器与寄存器之间的组合逻辑减少)来提高工作频率的方法。比如一个32位的计数器,该计数器的进位链很长,必然会降低工作频率,我们可以将其分割成4位和8位的计数,每当4位的计算器计到14后触发一次8位的计数器,这样就实现了计数器的切割,也提高了工作频率。

在状态机中,一般也要将大的计数器移到状态机外,因为计数器这东西一般是经常是大于4输入的,如果再和其他条件一起作为状态的跳变依据的话,必然会增加LUT的级联,从而增大组合逻辑。以一个6输入的计数器为例,我们原希望当计数器计到111100后状态跳变,现在我们将计数器放到状态机外,当计数到111011后产生各enable信号去触发状态跳变,这样就将组合逻辑减少了。

上面说的都是可以通过流水的方式切割组合逻辑的情况,但是有些情况下我们是很难去切割组合逻辑的,在这些情况下我们又该怎么做呢?

状态机就是这么一个例子,我们不能通过往状态译码组合逻辑中加入流水。如果我们的设计中有一个几十个状态的状态机,它的状态译码逻辑将非常之巨大,毫无疑问,这极有可能是设计中的关键路径。那我们该怎么做呢?还是老思路,减少组合逻辑。我们可以对状态的输出进行分析,对它们进行重新分类,并根据这个重新定义成一组组小状态机,通过对输入进行选择(case语句)并去触发相应的小状态机,从而实现了将大的状态机切割成小的状态机。在ATA6的规范中(硬盘的标准),输入的命令大概有20十种,每一个命令又对应很多种状态,如果用一个大的状态机(状态套状态)去做那是不可想象的,我们可以通过case语句去对命令进行译码,并触发相应的状态机,这样做下来这一个模块的频率就可以跑得比较高了。

提高FPGA工作频率的本质,就是要减少寄存器到寄存器的时延,最有效的方法就是避免出现大的组合逻辑,也就是要尽量去满足四输入的条件,减少LUT级联的数量。我们可以通过加约束、流水、切割状态的方法提高工作频率。

如何提高FPGA工作频率?影响FPGA运行速度的几大因素相关推荐

  1. 论影响高学习效率的几大因素

    文章目录 一.灵感来源 二.关键因素 2.1 环境 2.1.1 心理舒适区 2.1.2 "监督"与否 2.2 娱乐制品 2.3 个人规划与态度 2.3.1 个人规划 2.3.2 个 ...

  2. 影响LED品质的几大因素

    大部分设计者认为所有LED产品的品质都是一样的.然而,LED的制造商和供应商众多,亚洲生产商向全球供应低成本的LED.令人吃惊的是,在这些制造商中只有一少部分能够制造出高品质的LED.对于只用作简单指 ...

  3. 正大国际期货:影响黄金价格的几大因素有哪些?

    正大国际金融控股有限公司(简称:正大国际)成立于2019年11月4日,为香港证监会辖下之持牌法团(证监会中央编号:BOP620)从事第2类及第5类受规管活动及期货合约交易及就期货合约提供意见,主要从事 ...

  4. XIlinx FPGA 和 Intel FPGA的区别

    目录 一 FPGA简介 什么是FPGA呢 ? FPGA的内部结构有什么呢? 为什么要开发FPGA? FPGA的发展历程? FPGA用于做什么? FPGA的优缺点? 二 Xilinx FPGA和Inte ...

  5. FPGA学习.0——FPGA是什么

    FPGA(Field Programmable Gate Array,简称FPGA),译文:现场可编程门阵列,一种主要以数字电路为主的集成芯片,于1985年由Xilinx创始人之一Ross Freem ...

  6. (130)FPGA面试题-FPGA设计中波特率和比特率的区别

    1.1 FPGA面试题-FPGA设计中波特率和比特率的区别 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA设计中波特率和比特率的区别: 5)结束 ...

  7. (139)FPGA面试题-FPGA设计中的速度和面积互换原则

    1.1 FPGA面试题-FPGA设计中的速度和面积互换原则 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA设计中的速度和面积互换原则: 5)结束 ...

  8. (140)FPGA面试题-FPGA IP简介

    1.1 FPGA面试题-FPGA IP简介 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA IP简介: 5)结束语. 1.1.2 本节引言 &q ...

  9. (129)FPGA面试题-FPGA前仿真与后仿真的区别?

    1.1 FPGA面试题-FPGA前仿真与后仿真的区别? 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA前仿真与后仿真的区别?: 5)结束语. 1 ...

  10. (82)FPGA面试题-FPGA浮点数的定点化(二)

    1.1 FPGA面试题-FPGA浮点数的定点化(二) 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)FPGA面试题-FPGA浮点数的定点化(二): 5)结束语. 1.1 ...

最新文章

  1. 人工神经网络秒变脉冲神经网络,新技术有望开启边缘AI计算新时代
  2. Sidebar 左右菜单的使用
  3. Oracle 在使用pivot时,注意事项
  4. Incorrect number of FETCH variables
  5. 忘记虚拟机root密码的解决办法
  6. 反射中Class.forName()和ClassLoader.loadClass()的区别
  7. 有哪些好用不火的软件?
  8. android framework,GitHub - zhaozepeng/Android_framework: android framework 用来快速开发的android框架...
  9. 06-图1 列出连通集
  10. 一文了解授信审批策略及流程
  11. 看寄存代码中的方式时,一定要看到方法的底部 (有时代码会在finally中写东西) 。
  12. 动态规划-最长不下降子序列
  13. 指向类成员的指针并非指针
  14. C++中volatile的作用
  15. 广告管理系统的UML分析与设计
  16. Mac如何拷贝文件到移动硬盘
  17. 可视化数据库监控警报工具 —— Sampler
  18. 用scrapy爬虫无数据
  19. 乐视2 pro2 IMAX手机root权限 刷rece 解锁 刷系统等
  20. OSChina 周六乱弹 ——你们怎么懂我的少年心

热门文章

  1. 《论语别裁》读书笔记
  2. 孔子称他为贤,孟子尊他为圣,柳下惠何德何能?2700年家谱揭露真相
  3. Tricore学习-芯片启动流程
  4. 清理xcode模拟器_mac太卡,清除xcode和模拟器缓存
  5. ps一点等于多少厘米_PS像素与厘米之间的转换
  6. ESP32播放MP3音乐-无需SD卡-WM8978-ESP32解码MP3
  7. call_user_func_array函数详解
  8. OMNet++ Tic Toc例程的解析1
  9. 计算机专业研究生平均月薪,广东:计算机专业研究生月薪过万 本科生学针灸推拿工资最高...
  10. Redmine使用介绍