Verilog语言初学1

  • Verilog初学
    • Verilog的一些常用标识符
    • 入门笔记

Verilog初学

本人初学Verilog,自己做一点笔记

Verilog的一些常用标识符

入门笔记

verilog基本单位:模块module
模块的基本语法:
module module_name(port_list);
定义项
描述项
endmodule
注意:定义项必须写在前面
例:半加器模块

module halfadd (A,B,Sum,Carry);input A,B;output Sum,Carryassign #2 Sum=A^B;assign #5 Carry=A&B;
endmodule //

描述模块各个语句都是并发的
在模块中,可以用以下几种方式来描述一个设计:
1 数据流描述
2 行为描述
3 结构描述
4 以上几种混合描述方式

时延:
例:assign #2 Sum=A^B;
#2就是指两个时间单位
使用编译指令可以将时间单位和物理时间单位关联
例如:
` timescale 1ns/100ps//时延单位位1ns并且时间精度位100ps

数据流描述方式:
基本机制就是使用连续赋值语句。在连续赋值语句中,某个值被指派给线网变量
例子:

module mux2_1( out1,  a,  b,  sel);input a,b,seloutput out1;assign out1=(sel&b)|(~sel&a);
endmodule //

行为描述
1. initial语句 执行一次
2. always语句 总是执行

 module mux2_1( out1,  a,  b,  sel);  //输出要写在前面input a,b,seloutput reg out1;     always@(sel or a or b)beginif(sel)out1=b;elseout1=a;end endmodule //    行为描述规定,所有的输出一定十寄存器类型的

所有的输入一定是线性的
行为描述规定,所有的输出一定十寄存器类型的
所有的输入一定是线性的

Verilog语言初学1相关推荐

  1. 初学Verilog语言基础笔记整理(实例点灯代码分析)持续更新~

    实例:点灯学习 一.Verilog语法学习 1. 参考文章 刚接触Verilog,作为一个硬件小白,只能尝试着去理解,文章未完-持续更新. 参考博客文章: Verilog语言入门学习(1) Veril ...

  2. HDL4SE:软件工程师学习Verilog语言(二)

    2 词法和预处理器 2.1 定个小目标 作为一个软件工程师,学习一种语言,最暴力的办法就是做一个这种语言的编译器(或解释器),如果没有做过某种语言的编译器,至少也得仔细看过这种语言的编译器实现,最不济 ...

  3. Verilog 语言细节

    Verilog 语言细节 一.关于常量 1.1 负数 ​ 负数在verilog里是按照补码储存的,也就是说 − 2 ′ b 1 = 11 -2'b1 = 11 −2′b1=11,但是需要注意的是,在比 ...

  4. HDL4SE:软件工程师学习Verilog语言(六)

    6 表达式与赋值 我们终于可以继续学习了,也是没有办法,其实工作的80%的时间都是在忙杂事,就像打游戏一样,其实大部分时间都在打小怪,清理现场,真正打终极BOSS的时间是很少的,但是不清小怪,打BOS ...

  5. 《R语言初学指南》一2.3 找到R脚本中的错误

    本节书摘来自异步社区<R语言初学指南>一书中的第2章,第2.3节,作者[美]Brian Dennis(布莱恩·丹尼斯),更多章节内容可以访问云栖社区"异步社区"公众号查 ...

  6. 《R语言初学指南》一2.8 参考文献

    本节书摘来自异步社区<R语言初学指南>一书中的第2章,第2.8节,作者[美]Brian Dennis(布莱恩·丹尼斯),更多章节内容可以访问云栖社区"异步社区"公众号查 ...

  7. vivado环境下用Verilog语言实现编码器

    ** vivado环境下用Verilog语言实现编码器 ** 编码器的分类 编码器通常分为两大类: 普通编码器和优先编码器. 其中,普通编码器对某一个给定时刻只能对一个输入信号进行编码的编码器, 它的 ...

  8. FPGA学习之verilog语言入门指导

    本人985硕士,在此分享下学习过程,verilog通关!本人博客页也分享了很多课程设计和毕业设计,欢迎收藏观看订阅!谢谢支持! 在学习之前,要思考下为什么学习FPGA,老师要求?项目要求?课题组要求? ...

  9. 怎么运行verilog语言_(六) Verilog入门之有限状态机

    现在让我们回到主线,继续FPGA编程的学习.之前我们我们在测试FPGA是否工作时所用到的代码里面有组合逻辑,如加法器:也有时序逻辑,如将时钟信号分频而得到的闪烁灯. 但如何组合这两种逻辑实现我们所需的 ...

最新文章

  1. spark Tachyon
  2. C语言 联合体使用技巧之位带操作
  3. 常见开源项目结构划分
  4. 语法分析器c语言 递归子程序,RecursiveSubroutine
  5. JDK 9是某些功能的终结
  6. mooc_java 集合框架中 学生所选课程2MapHashMap
  7. 黑马h5学习代码_如何零基础制作酷炫实用的H5页面
  8. drool 7.x 属性 : lock-on-active
  9. 2021 OWASP Top 10 榜单(初稿)发布,头牌易主
  10. iOS开发之在地图上绘制出你运行的轨迹
  11. 打造万能视频播放器——Vitamio
  12. 美国计算机一年制,美国一年制硕士
  13. 0基础如何转行自学软件测试
  14. python函数的调用方式_Python中函数参数调用方式分析
  15. InfluxDB查询 tag和field列名字重复
  16. c语言.jpg图片转成数组_图片可以转Word吗?教你两种方法轻松转换
  17. 2021.05.29【NOIP提高B组】模拟 总结
  18. 北鲲云联合珠海中科先进技术研究院推出代算服务
  19. 三星老java手机换字体_三星手机设置字体大小与更换默认字体的图文教程
  20. 【uni-app】什么是uni-app?如何进行开发?如何连接微信开发者工具与安卓手机端?

热门文章

  1. 云测试平台推荐与简单比较
  2. Zcash中的signatures
  3. vue 替换路由地址参数(动态修改路由参数)
  4. 机器学习——不可逆矩阵处理
  5. 高仿知乎android客户端,仿知乎分享界面
  6. 微信小游戏制作坦克大战(四)添加敌方坦克,敌方坦克可以随机移动
  7. 微信小游戏制作坦克大战(六)碰撞检测,主角坦克碰到敌方坦克、炮弹爆炸
  8. 12个免费logo生成器
  9. iPhoneX设计稿适配Android,三分钟弄懂iPhoneX设计尺寸和适配(二)
  10. autocad java api_在java中实现 AutoCAD api