生产半导体产品的过程,包括设计、制造、封测三大环节。

1、IC设计:是一个将系统、逻辑与性能的设计要求转化为具体的物理版图的过程,主要包含逻辑设计、电路设计和图形设计等。将最终设计出的电路图制作成光罩,进入下一个制造环节。由于设计环节主要通过计算机完成,所需的设备占比较少。

2、IC制造:制造环节又分为晶圆制造和晶圆加工两部分。前者是指运用二氧化硅原料逐步制得单晶硅晶圆的过程,主要包含硅的纯化->多晶硅制造->拉晶->切割、研磨等,对应的设备分别是熔炼炉、CVD设备、单晶炉和切片机等;晶圆加工则是指在制备晶圆材料上构建完整的集成电路芯片的过程,主要包含镀膜、光刻、刻蚀、离子注入等几大工艺。

3、IC封测:封装是半导体设备制造过程中的最后一个环节,主要包含减薄/切割、贴装/互联、封装、测试等过程,分别对应切割减薄设备、引线机、键合机、分选测试机等。将半导体材料模块集中于一个保护壳内,防止物理损坏或化学腐蚀,最后通过测试的产品将作为最终成品投入到下游的应用中去。

1. 设计

芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。

1.1 前端设计

1)系统设计(性能、功能要求)

首先企业在研发的时候,需要制订好芯片规格,也就像功能列表一样,包括芯片需要达到的具体功能和性能方面的要求。

2)RTL代码设计( 寄存器传输级代码:行为设计、硬件描述语言Verilog)

半导体研发人员就需要使用硬件描述语言(如Verilog HDL是世界上最流行的硬件描述语言之一)将模块功能以代码来描述实现,也就是将实际的硬件电路功能通过硬件描述语言描述出来,形成寄存器传输级代码。

3)RTL仿真(行为仿真)

一旦形成了代码,这个时候就需要通过仿真验证来检验编码设计的正确性,检验的标准就是第一步制定的规格。看设计是否精确地满足了规格中的所有要求。规格是设计正确与否的黄金标准,一切违反,不符合规格要求的,就需要重新修改设计和编码。

4)逻辑综合

仿真验证通过之后进行逻辑综合。逻辑综合的结果就是把设计实现的硬件描述语言代码翻译成门级网表(网表是一类专业的、高效的信息化系统制作工具)。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准。

什么是综合?综合就是将RTL级verilog代码用Design Compiler 工具 转换/映射成用基础门级单元表示的电路的过程。基础门级单元也就是平时我们学的与非门,或非门,寄存器之类的,只不过,这些门级单元已经做成了标准的单元库,我们可以直接使用软件来调用,而不需要自己调用门级单元来搭建电路。简单的来说,Design Compiler软件就是做翻译的工作——将代码翻译成实际电路,但又不仅仅是翻译这么简单,它涉及到电路的优化与时序约束,使之符合我们做制定的性能要求。

一般来说,综合完成后需要再次做仿真验证。

5)时序仿真(STA)&功能验证

然后再进行验证,在时序上对电路进行验证,检查电路是否存在建立时间和保持时间的违例,这个步骤叫静态时序分析;最后还要再进行验证,它是从功能上对综合后的网表进行验证。

前端设计会得到芯片的门级网表电路。

1.2 后端设计

1)可测性设计

而到了后端设计,就要开始可测性设计。芯片内部往往都自带测试电路,可测性设计的目的就是在设计的时候就考虑将来的测试。如果通过了可测性设计,那就可以进行布局规划了,布局规划能直接影响芯片最终的面积。

2)物理布局布线(得到版图)

布局规划完成后就需要对时钟信号单独布线,再进行普通信号布线,包括各种标准单元(基本逻辑门电路)之间的走线。

布线之后,对寄生参数提取,由于导线本身存在的电阻,相邻导线之间的互感,耦合电容在芯片内部会产生信号噪声,串扰和反射。这些效应会产生信号完整性问题,导致信号电压波动和变化,如果严重就会导致信号失真错误。提取寄生参数进行再次的分析验证,分析信号完整性问题是非常重要的。

3)版图物理验证(功能和时序验证)

最后再对完成布线的物理版图进行功能和时序上的验证,后端设计就完成。

4)版图(设计与制备之间的接口)

物理版图验证完成也就是整个芯片设计阶段完成,下面的就是芯片制造了。物理版图以GDS II的文件格式交给芯片代工厂(称为Foundry)在晶圆硅片上做出实际的电路,再进行封装和测试,就得到了我们实际看见的芯片。

集成电路设计的最终输出是版图,通过制版和工艺流片可以得到所需的集成电路。

2. 制造

2.1 晶圆制造(硅->晶圆)

完成后端设计可以进行芯片制造了。芯片制造中,晶圆必不可少,从二氧化硅(SiO2)矿石,比如石英砂中用一系列化学和物理冶炼的方法提纯出硅棒,然后切割成圆形的单晶硅片,这就是晶圆。

2.2 光罩制作(光罩版图-> )

在半导体制造的整个流程中,其中一部分就是从版图到晶圆(wafer)制造中间的一个过程,即光掩膜或称光罩(mask)制造。这一部分是流程衔接的关键部分,是流程中造价最高的一部分,也是限制最小线宽的瓶颈之一。

2.3 光刻(在晶圆上形成电路)

晶圆要经过金属溅镀、涂布光阻、蚀刻技术、光阻去除等过程将微型电路覆盖到表面上,这样一块晶圆上就会形成很多的集成电路芯片。

金属溅镀:将欲使用的金属材料均匀洒在晶圆片上,形成一薄膜。

涂布光阻:先将光阻材料放在晶圆片上,透过光罩,将光束打在不要的部分上,破坏光阻材料结构。接着,再以化学药剂将被破坏的材料洗去。

蚀刻技术:将没有受光阻保护的硅晶圆,以离子束蚀刻。

光阻去除:使用去光阻液皆剩下的光阻溶解掉,如此便完成一次流程。

最后便会在一整片晶圆上完成很多 IC 芯片,接下来送去封测厂商,将完成的方形 IC芯片从晶圆上切割开。

3. 封测

封测应该是两道工序:封装和测试。

1)封装是把电路(die)用塑料封起来,外部只留接触的pin脚。

2)测试,也叫FT(final test)区别于WS(wafer sorting),目的是最后出厂时保证你这个产品的性能满足设计要求的。

形成了集成电路芯片之后,最后还要通过严格的测试、切割,然后进行封装。因为一颗芯片相当小且薄,如果不在外施加保护,会被轻易的刮伤损坏。此外,因为芯片的尺寸微小,如果不用一个较大尺寸的外壳,将不易以人工安置在电路板上。

完成封装后,便要进入测试的阶段,在这个阶段便要确认封装完的 IC 是否有正常的运作,正确无误之后便可出货,这个时候才形成了一枚最终可用的芯片。

芯片:设计、制造、封测相关推荐

  1. 终于有人讲透了芯片是什么(设计-制造-封测)

    投资快报 2018-04-19 07:45 导读:芯片由集成电路经过设计.制造.封装等一系列操作后形成,一般来说,集成电路更着重电路的设计和布局布线,而芯片更看重电路的集成.生产和封装这三大环节.但在 ...

  2. 芯片制造系列全流程:设计、制造、封测

    目录 芯片制造系列全流程(简) 一.芯片制造全流程简介 二.芯片设计 三.芯片制造 四.封装测试 芯片目前分为三个主要环节,分别是设计.制程.封测. 设计水平 制造这一块 最后说说封测这一块 芯片设计 ...

  3. (3)一文懂“芯片”设计、代工、封测

    1.前言 本文适合新"芯"人,大神略过!!! 芯片(Chip),指的是内含集成电路的硅片,所以芯片又被称集成电路(Integrated Circuit),可能只有2.5厘米见方大小 ...

  4. 终于有人讲透了芯片是什么(设计-晶圆-封测)

    导读:芯片由集成电路经过设计.制造.封装等一系列操作后形成,一般来说,集成电路更着重电路的设计和布局布线,而芯片更看重电路的集成.生产和封装这三大环节.但在日常生活中,"集成电路" ...

  5. IC设计、制造、封测,看这篇就够了(转载)

    复杂繁琐的芯片设计流程 芯片制造的过程就如同用乐高盖房子一样,先有晶圆作为地基,再层层往上叠的芯片制造流程后,就可产出必要的IC芯片(这些会在后面介绍).然而,没有设计图,拥有再强制造能力都没有用,因 ...

  6. 2022-2028年中国芯片封测行业深度调研及投资前景预测报告(全卷)

    [报告类型]产业研究 [出版时间]即时更新(交付时间约3个工作日) [发布机构]智研瞻产业研究院 [报告格式]PDF版 本报告介绍了芯片封测行业相关概述.中国芯片封测行业运行环境.分析了中国芯片封测行 ...

  7. 中国芯片封测行业规划研究及发展前景投资可行性分析报告2022-2028年版

    [撰写单位]:鸿晟信合研究网 [报告目录]:     第一章 芯片封测行业相关概述 1.1 半导体的定义和分类 1.1.1 半导体的定义 1.1.2 半导体的分类 1.1.3 半导体的应用 1.2 半 ...

  8. 2022-2028全球与中国芯片封测市场现状及未来发展趋势

    辰宇信息咨询市场调研公司最近发布-<2022-2028全球与中国芯片封测市场调研报告> 内容摘要 本文重点分析在全球及中国有重要角色的企业,分析这些企业芯片封测产品的市场规模.市场份额.市 ...

  9. 半导体企业实现芯片封测行业精益化管理的选择:SAP系统

    SAP 芯片封测行业ERP解决方案是MTC基于SAP ERP优秀.全面.灵活.可扩展的技术平台,结合MTC在半导体.芯片封装测试行业丰富的业务实践经验,为半导体公司打造的芯片封测企业一体化解决方案.M ...

最新文章

  1. a3国际服服务器未响应,A3幸存者国际服
  2. 如何设计应用层协议(草稿)
  3. 说说几个 Python 内存分配时的小秘密
  4. [题解]Codeforces Round #519 - B. Lost Array
  5. 陌陌的 Service Mesh 探索与实践
  6. 【LeetCode笔记】198. 打家劫舍(Java、动态规划)
  7. 在Golang中使用Protobuf
  8. 从偶然的机会发现一个mysql特性到wooyun waf绕过题
  9. Android Fragment 使用详解
  10. java final 函数_JAVA中Final的用法
  11. native与ascii互转
  12. 腾讯云联合信通院发布《超低延时直播白皮书》,推动直播延时降低90%以上
  13. 除法求模中求逆元的两种方法
  14. python递归实现快速对一个给定字符串排序输出
  15. 分布式文件系统 MogileFS 安装手册
  16. 数字图像处理冈萨雷斯版学习(一)
  17. 微型计算机的Usb有几个,一起来侃侃USB/eSATA二合一接口
  18. RDO方式安装Openstack Allinone问题记录
  19. Python学习(列表)
  20. Mac运行Pycharm崩溃

热门文章

  1. 从循环引用谈依赖倒置原则
  2. Ethernet帧和802.3帧区别
  3. 做产品:光有换位思考还不够
  4. 被绞杀的网景:互联网门口第一滴血,互联网营销
  5. 大学计算机基础知识点_阿里云大学和腾讯云大学的计算机基础课程
  6. 解决 Windows 系统使用 Homestead 运行 Laravel 本地项目响应缓慢问题
  7. java 人脸识别 demo_java引用Arcface,实现人脸识别(demo)
  8. 信息系统管理工程师_关于备考信息系统项目管理师、系统集成项目管理工程师考试几点小建议...
  9. ibm mq并发访问队列_消息队列之九问九答
  10. [蓝桥杯][基础练习VIP]2n皇后问题(深搜)