Timing Analysis Techniques

Timing Analysis Techniques就是一般时序分析技术。
report_constraint -all_violators -max_delay
这个命令可以实现报出所有的时序违规命令
这个在使用的过程中,也就是说,现在用户发现了很多的时序违规,然后通过何种方法可以将所有的时序违规进行分类,进而更好的解决所有的时序违规。这个在实际的的过程中,好像用到的并不是很多

其中大致方法分为4类
1.创建用户自身定义的path groups(这个得使用方法是比较普遍的)
可以把性能类似的,或者是相似性比较大的路径统一到一起
例如:输入到寄存器,寄存器到寄存器,寄存器到输出
也可以具体到每一个pin。实现的命令为
group_path -name INPUTS -from [all_inputs]
这句话表示了,可以得到一个INPUTS的文件,这个文件里面的东西都是和input的管脚相关的。下面的命令也是可以这么理解。
group_path -name OUTPUTS -to [all_outputs]
方便用户归类,归类的之后方便后续分析。
2.设置min-max分析方法(3条命令)(这个时常也是会用的)
这个方法是为了同时分析建立和保持时间。这个是很好理解的。
这里可以简单的记录一下使用的命令:
set link_path “* cba_core_max.db”
set_min_library cba_core_max.db -min_version cba_core_min.db
link_design
list_libraries
report_lib
其中主要的命令便是set_min_library,指定了min_max之间的关系。
这里面计算保持时间便会用cba_core_min的库;计算建立时间便会用cba_core_max的库。
这里也是要区分pre_layout and post_layout。
这里有两个命令也是需要记忆的
set_operating_conditions -analysis_type bc_wc -min MIN_OC -max MAX_OC
read_sdf -analysis_type bc_wc ba_design.sdf
这里也是要理解一下(SPEF和抽RC的概念)。
3.利用case analysis(2条命令)
实际在运用的过程中,可能有一些命令是可以不需要使用的,这时候可以将这些端口或者是模块设置为不访问或者是对端口赋定值。这种情况用的比较多的是在测试模块中。其实影响不是很大的。这个一般用的是比较少。具体命令是
set_case_analysis 0 [get_pin U1/A]
set case_analysis_log_file myfile.txt
report_disable_timing
report_case_analysis
这个在使用完毕之后,尽量的移除掉:
remove_case_analysis [get_pin U1/A]
如果已经知道了一条路径是有问题的,可以把这条路径单独拉出来进行报告
report_delay_calculation

后面需要熟练使用
check_time
report_analysis_coverage
4.利用bottleneck analysis
5.其他有效的时序分析方法

PT(PrimeTime)(2)相关推荐

  1. ASIC设计的一些软件

    zz : http://bbs.eetop.cn/thread-323935-1-1.html EDA工具梳理(持续更新中) "工欲善其事,必先利其器".EDA工具是IC 开发 者 ...

  2. 数字IC设计的第一步——Synopsys EDA Tools的安装

    目录 简述 安装环境和文件 1. 环境 2. 文件 安装包链接 1. 环境 2. 文件 安装前踩坑 安装步骤 1. 安装前的准备工作 2. 用Synopsys Installer安装 3. 在wind ...

  3. 数字IC所用软件及IP分类

    数字IC所用软件及IP分类 Synopsys--新思科技 VCS-Verilog Compile Simulater Verdi ICC/ICC2--布局布线工具 Starrc--寄生参数提取工具 D ...

  4. (九)数字后端之静态时序分析STA

    STA:Static timing analysis 目的: 在不采用动态激励的情况下,通过静态分析delay并检查时序是否满足. STA贯穿在整个后端流程中,逻辑综合阶段和RouteOPT阶段,ST ...

  5. Synopsys全系列工具简介

    Synopsys的产品线覆盖了整个IC设计流程,使客户从设计规范到芯片生产都能用到完备的最高水平设计工具.公司主要开发和支持基于两个主要平台的产品, Galaxy设计平台和Discovery验证平台. ...

  6. synopsys工具介绍

    作为一个IC设计的工程师,不懂这些,就比较悲剧了.时常会说DC一下,PT一下.那么他们的含义是什么呢? 注:http://www.2ic.cn/html/89/t-423489.html http:/ ...

  7. 【一些逻辑综合的思考题】

    为什么要用边沿触发器件? 答:为了提高触发器的可靠性,希望触发器的次态仅决定于CLK的边沿到达时刻的输入信号的状态,与CLK的其他时刻的信号无关    什么情况容易出现非稳态,可以避免么? 答:频率过 ...

  8. PT(PrimeTime)学习日记(1)

    学习目标:初步了解PT的流程 主要是为了了解PT的主要知识点,为后面的学习打下基础. 学习内容: PT的基本内容 1. PT的用途 2. PT的流程 学习日报: 1. 其实PT的主要用途还是为了进行静 ...

  9. PrimeTime指南——合理设置约束

    完整的STA需要满足以下两点: 完整的设计约束(完整并不意味着正确) 运行所有需要的时序检查 可以用以下两条命令来进行完整性的检查: check_timing                      ...

最新文章

  1. 在DataTable中更新、删除数据
  2. ueditor版本python3_GitHub - crazyinstall/DjangoUeditor3: Django Ueditor 兼容Python3改进,Python2上也可用...
  3. leetcode 1. 两数之和(map)
  4. 三次握手面试题java_java面试题三次握手和四次挥手-嗨客网
  5. 用matlab处理grib2,关于Matlab2017b读取GRIB2文件的问题
  6. jenkins 拉取git源码超时
  7. 2016.6.29 tomcat卸载后在安装出现错误:failed to install tomcat7 service
  8. linux安全技术课程报告,综合实例一+linux平台WEB安全技术研究报告.doc
  9. Shell脚本中的分号使用
  10. C#用Socket和S7.net实现安卓手机APP读写西门子PLC数据(安卓APP使用的E4A中文编程软件)
  11. python中pyserial模块使用方法
  12. 基于MATLAB绘制双纵坐标轴图
  13. STN( Spatial Transformer Network)
  14. echarts 饼图文字图例多种颜色
  15. 计算机写给未来自己的一段话,写给未来的自己一句话致未来自己的句子简短励志...
  16. SSH——Hibernate初学者之旅(五)
  17. Jmeter,Linux下执行./jmeter报错【./jmeter:Permission denied】
  18. 电磁兼容(EMC)基础(二)
  19. 中科院量子计算机芯片,超导量子计算云平台——机会再次来临
  20. 记录汤阳光OA视频每集的要点

热门文章

  1. 关于Qt退出码255的一点总结
  2. 什么是鉴权?这些postman鉴权方式你又知道多少?
  3. API 接口的安全性及鉴权方式
  4. 信息收集(whois、dig、dnsrecon、dnsenum、netcraft 与 wappalyzer插件)
  5. 伺服电机控制转速与干扰措施的学习总结
  6. 下周回国贾跃亭,Are you OK雷布斯……网红企业家是如何炼成的
  7. Lr 2020最新版下载地址 一键安装Windows
  8. Java实现1028 人口普查
  9. TPS60400DBVR
  10. Shopping 购物