一、 Vivado如何清理工程并保证不缺失必要文件

前言

vivado和ISE的使用差别很大,Vivado是专门针对7系列和以后系列的FPGA/AP SOC进行高效设计的工具,特别是最近提出的UltraFast设计方法,能够极大地提高开发效率。ISE在支持老版本器件的基础上,目前也支持7系列/ZYNQ的设计,但是效率不能和Vivado相比。关于vivado的基本使用这里不多说,主要把一些问题点整理成“错题集”,把一些小技巧进行归纳。

清理/压缩工程

实际使用vivado的过程中,由于vivado会自动产生一系列文件,有些是不必要时刻保存的中间文件,有些是加快效率的文件(比如编译IP核后产生的文件)。但是在上传svn或者自己做备份的时候希望备份占用尽量少的空间。然而由于vivado不会自动清理,所以这时候就需要我们做手动清理了,很多人采用的方法是根据经验删除没用的文件和文件夹,这种对于不熟悉的人很容易犯下不可挽回的错误。也有人写好了批处理文件可以直接帮助删除的,但是这都不太适合初学者。其实vivado是有tcl命令可以帮助清理的。

reset_project

reset_projec是vivado一个tcl命令,输入后会发现所有的IP全部清掉了编译后的文件,工程的综合和实现也被清理掉了,所以会减少空间,下面我们用一个简单的工程来对比下前后会少多少。

下图为某工程运行完成之后占用的资源,占用了176M。

对工程运行reset_project命令后如下图所示,资源占用105M,直接减少72M,也就是减少了40%!这个压缩量还是比较可观的。

复位后的影响

复位后是什么效果呢?其实复位就是把里面的综合实现清空,包括ooc下面的IP核等都清掉,这样就只保留了最必要的文件,从而压缩了占用 空间。但是这样也带来一个问题,如果要重新产生一个可用的版本,需要的时间会更长,这个操作实际上也就是用空间换取了时间,所以大家使用前要衡量下,不要随便用,比较工程大了跑一次可能一天就没了。



二、使用tcl命令保存vivado工程成.tcl文件和使用.tcl文件恢复vivado工程的方法

把vivado工程保存成.tcl文件,有两种方法,分别是:

  1. 使用tcl命令:在打开的vivado工程中,在tcl命令输入行,输入如下命令,write_project_tcl { d:/work/system.tcl},即可把工程保存成.tcl文件。其中d:/work/是.tcl文件保存的路径,可根据实际使用的需要更改,system.tcl是保存的文件名。

  2. 使用GUI操作:在打开的vivado工程中,依次点击 File —》 Write Project to Tcl ,在Write Project To Tcl 界面设置相关参数(主要是tcl文件的保存路径和文件名),即可把工程保存成.tcl文件。

使用.tcl文件恢复vivado工程,需要注意以下事项:

  1. 首先要打开.tcl文件,查看此.tcl文件是用哪个版本的vivado创建的,然后必须使用同样版本的vivado软件来运行此.tcl文件。因为不同版本的vivado用的IP核可能不同,所以vivado版本必须先一致。
  2. 查看.tcl文件中的NOTE,把NOTE中提到的全部文件找到,并按相关提示修改.tcl文件中相关文件的路径为当前路径。

使用.tcl文件恢复vivado工程,有以下两种方法:

  1. 使用tcl命令:启动vivado,在tcl console下,用cd命令将工作路径指定到目标路径,例如 cd d:/work/vivado_Project,在此路径下保存有.tcl文件,然后输入TCL命令 source ./system.tcl,即可完成恢复vivado工程。
  2. 启动vivado,在vivado界面tools下,运行run tcl script,路径指到system.tcl的保存路径即可。

可以使用pwd命令来查看当前路径。

参考链接

  • Vivado如何清理工程并保证不缺失必要文件 —— 材哥 玩儿转FPGA
  • 使用tcl命令保存vivado工程成.tcl文件

Vivado如何清理工程并保证不缺失必要文件相关推荐

  1. vivado 如何创建工程模式_用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

  2. 解决新版本Vivado打开老工程IP锁住的问题

    解决新版本Vivado打开老工程IP锁住的问题 参考文章: (1)解决新版本Vivado打开老工程IP锁住的问题 (2)https://www.cnblogs.com/chensimin1990/p/ ...

  3. 打开vivado的.xpr工程文件报错Cannot locate target loader

    打开vivado的.xpr工程文件报错Cannot locate target loader 在打开vivado工程时总是报错Cannot locate target loader(重新安装vivad ...

  4. 计算机缺失程序怎么办,win7电脑缺失dll文件软件打不开怎么办

    win7系统会遇到加载dll失败的问题,主要是因为程序所需的dll文件丢失了.下面由学习啦小编为你整理了win7电脑缺失dll文件软件打不开怎么办的相关方法,希望对你有帮助! win7电脑缺失dll软 ...

  5. 台式机计算机删除,电脑c盘满了怎么清理 电脑c盘可以删除哪些文件

    C盘是电脑中最关键的位置,里面存储着大量系统文件,很多小伙伴经常下载软件.影视,并且不经意间都储存在C盘中,这导致C盘很快就满了,这时候我们该怎么办比较好?扩容空间还是清理C盘.还是重装系统,以上方法 ...

  6. 使用Eclipse创建Web工程后未生成web.xml文件

    使用Eclipse创建Web工程后未生成web.xml文件 鼠标右击项目,按照如下操作生成web.xml项目:

  7. 服务器上的此文件夹中具有更多项目,清理或删除“可恢复的项目”文件夹中的项目...

    清理或删除"可恢复的项目"文件夹中的项目 2021/6/1 本文内容 "可恢复的项目"文件夹 (在 Exchange 早期版本中称为垃圾站 ) , 用于防止意外 ...

  8. 无法安装 计算机缺失,还原安装程序Windows缺失的文件 - Windows Client | Microsoft Docs...

    缺少Windows安装程序缓存需要重新构建计算机 10/21/2020 本文内容 本文讨论如何还原安装程序缓存Windows缺失的文件. 适用于:  Windows 10 - 所有版本,Windows ...

  9. file.delete删除不了文件_巧用磁盘清理,彻底清除Windows系统垃圾文件

    Windows系统升级安装后,旧的Windows文件仍驻留在系统安装盘根目录中,位于系统自动命名为"Windows.old"的文件夹中,成为了垃圾文件,占居了系统安装盘即C盘宝贵的 ...

最新文章

  1. 美国物流管理协会更名标志全球物流进入供应链时代
  2. Doxygen基本用法
  3. 【转】ArcGIS.Server.9.2.DotNet的ADF的Toolbar工作过程分析
  4. java窗口向mysql加信息_Java中如何实现向DBC方式向表中添加数据
  5. Android帧缓冲区(Frame Buffer)硬件抽象层(HAL)模块Gralloc的实现原理分析(9)...
  6. CentOS6和CentOS7进入单用户模式重置root密码
  7. ubuntu系统下如何查看opencv版本
  8. Linux本地信息收集
  9. oracle中imp命令详解,Oracle使用imp命令导入数据详解
  10. uboot中bss的理解
  11. 教你自定义Windows10微软输入法
  12. 三菱梯形图转换c语言软件,梯形图转51软件(三菱PMW文件转51)
  13. 求平方根的牛顿迭代matlab程序,牛顿迭代法求平方根
  14. 这三个方法让你实现文字转语音在线转换
  15. 【NLP项目-文本分类】划分测试集,训练集,验证集
  16. 【Microsoft Visual Studio 2010完成CLR窗体应用程序】计算器和画图板的设计
  17. C# Basler相机采集图像
  18. 试证明:已知二叉树的前序序列和中序序列,可以唯一确定该二叉树
  19. Kubernetes 可扩展性简介
  20. 万年历Java(从1900年开始)

热门文章

  1. STM32 PWM输出实验总结
  2. ×××论坛排名-最权威的×××论坛排行榜
  3. 2022年无糖茶饮料发展洞察分析
  4. EDI确认的4种类型
  5. c语言通讯录设计与实现需求概述,个人通讯录的设计与实现.doc
  6. JAVA抓取通过JS渲染的网站(动态)网页数据
  7. 周末又没有了......
  8. C#图表开发——Chart
  9. how to telnet a phone?
  10. 今天给二叉树加个BGM,二叉树唱歌了!