基于海康威视SDK javaB/S

下载NetVideoActiveX23.cab文件,放在webRoot下面的codebase文件夹里

//全局变量定义

var m_iNowChanNo = -1; //当前通道号

var m_iLoginUserId = -1; //注册设备用户ID

var m_iChannelNum = -1; //模拟通道总数

var m_bDVRControl = null; //OCX控件对象

var m_iProtocolType = 0; //协议类型,0 – TCP, 1 - UDP

var m_iStreamType = 0; //码流类型,0 表示主码流, 1 表示子码流

var m_iPlay = 0; //当前是否正在预览

var m_iRecord = 0; //当前是否正在录像

var m_iTalk = 0; //当前是否正在对讲

var m_iVoice = 0; //当前是否打开声音

var m_iAutoPTZ = 0; //当前云台是否正在自转

var m_iPTZSpeed = 4; //云台速度

/*************************************************

Function: LogMessage

Description: 写执行结果日志

Input: msg:日志

Output: 无

Return: 无

*************************************************/

function LogMessage(msg) {

//var myDate = new Date();

//var szNowTime = myDate.toLocaleString( ); //获取日期与时间

document.getElementById("OperatLogBody").innerHTML = "--> " + msg;

}

/*************************************************

Function: onload

Description: 页面加载完后判断系统日期是否在1971-2037范围

Input: 无

Output: 无

Return: 无

*************************************************/

window.onload = function () {

function getIP() {

var obj = bzmis.vidio_index2.getIP();

return obj.value;

}

function getPort() {

var obj = bzmis.vidio_index2.getPort();

return obj.value;

}

function getUserName() {

var obj = bzmis.vidio_index2.getUserName();

return obj.value;

}

function getPassword() {

var obj = bzmis.vidio_index2.getPassword();

return obj.value;

}

function getChannelNo() {

var obj = bzmis.vidio_index2.getChannelNo();

return obj.value;

}

var myDate = new Date();

var iYear = myDate.getFullYear();

if (iYear < 1971 || iYear > 2037) {

alert("为了正常使用本软件,请将系统日期年限设置在1971-2037范围内!");

//parent.location.href = "../login.php";

}

if (document.getElementById("HIKOBJECT1").object == null) {

alert("请先下载控件并注册!");

m_bDVRControl = null;

}

else {

m_bDVRControl = document.getElementById("HIKOBJECT1");

ChangeStatus(1);

// ArrangeWindow(1);

}

var szDevIp = '192.168.32.31';

var szDevPort = '8000';

var szDevUser = 'admin';

var szDevPwd = '12345';

m_iLoginUserId = m_bDVRControl.Login(szDevIp, szDevPort, szDevUser, szDevPwd);

if (m_iLoginUserId == -1) {

LogMessage("注册失败!");

}

else {

LogMessage("注册成功!");

}

m_iNowChanNo = 3;

if (m_iNowChanNo > -1) {

if (m_iPlay == 1) {

m_bDVRControl.StopRealPlay();

}

var bRet = m_bDVRControl.StartRealPlay(m_iNowChanNo, m_iProtocolType, m_iStreamType);

if (bRet) {

LogMessage("预览通道" + (m_iNowChanNo + 1) + "成功!");

m_iPlay = 1;

}

else {

LogMessage("预览通道" + (m_iNowChanNo + 1) + "失败!");

}

}

}

/*************************************************

Function: ChangeStatus

Description: 选中窗口时,相应通道的状态显示

Input: iWindowNum : 选中窗口号

Output: 无

return: 无

*************************************************/

function ChangeStatus(iWindowNum) {

m_bDVRControl = document.getElementById("HIKOBJECT" + iWindowNum);

/*for(var i = 1; i <= 4; i ++)

{

if(i == iWindowNum)

{

document.getElementById("NetPlayOCX" + i).style.border = "1px solid #00F";

}

else

{

document.getElementById("NetPlayOCX" + i).style.border = "1px solid #EBEBEB";

}

}

LogMessage("当前选中窗口" + iWindowNum);

*/

}

//document.oncontextmenu = rightclick;

/*************************************************

Function: rightclick

Description: 网页禁用右键

Input: 无

Output: 无

Return: bool: true false

*************************************************/

function rightclick() {

return false;

}

function workaround() {

window.document.all.item("ocx").style.display = "none";

window.document.all.item("ocx").style.display = "";

document.getElementById("HIKOBJECT1").width = document.body.clientWidth;

document.getElementById("HIKOBJECT1").height = document.body.clientHeight;

}

//关闭资源,退出登录

function ExitApp() {

m_bDVRControl = document.getElementById("HIKOBJECT1");

this.m_bDVRControl.Logout();

}

//document.activex.url.value="https://192.0.7.213"

//OCXobj.SendCmd();

//OCXobj.NumBig();

java对接 布防 海康威视_基于海康威视SDK javaB/S相关推荐

  1. java对接医疗设备_基于Java框架的医疗设备管理系统设计与实现

    论文字数:18504,页数:54 摘要 随着医疗改革的深入,医疗设备作为一个医院继人才.资金之后的第三位的竞争要素显得越来越重要.时代和科学技术的发展,大量的国内外先进的医疗设备和仪器源源不断的进入各 ...

  2. java项目----教务管理系统_基于Java的教务管理系统

    java项目----教务管理系统_基于Java的教务管理系统 2022-04-22 18:18·java基础 最近为客户开发了一套学校用教务管理系统,主要实现学生.课程.老师.选课等相关的信息化管理功 ...

  3. java对接 布防 海康威视_java web整合海康威视录像机摄像SDK

    java 项目demo目录结构 java web整合海康威视录像机摄像SDK实现拍照功能. 一.maven项目结构 [提示]主要的是HCNetSDK.java文件,其中都是海康java调用dll文件获 ...

  4. java对接 布防 海康威视_java调用海康威视sdk获取车牌号demo

    //软件启动的时候启动海康威视一体机(开启监听) public void startHaikang(){ //初始化sdk if (AlarmJavaComm.initializeSDK()){ lo ...

  5. java对接 布防 海康威视_Java海康威视网络摄像头的云台控制,截图API封装

    hikvision-control 实现hikvision网络摄像机的登录.云台旋转控制.实时图片截取功能. 库文件安装 将src-dll文件夹中的文件拷贝到系统的库文件目录,主意文件名称不要进行修改 ...

  6. java实现layui分页_基于LayUI分页和LayUI laypage分页的使用示例

    本文介绍了LayUI分页,LayUI动态分页,LayUI laypage分页,LayUI laypage刷新当前页,分享给大家,具体如下: 效果图: 一.引用js依赖 主要是jquery-1.11. ...

  7. java农产品查询系统_基于java的农产品销售系统的设计与实现论文.docx

    基于java的农产品销售系统的设计与实现论文.docx 分类号_______________ 密级________________ UDC _______________ 学号_毕业设计(论文)论文题 ...

  8. java审批流程框架_基于SSM框架下的JAVA企业流程审批系统

    每天记录学习,每天会有好心情.*^_^* 今天将为大家分析一个企业流程审批系统(现代企业对资金流的控制十分严格,但是绝大部分企业的费用审批还停滞在手动填单.逐级递交.逐级审批的现状,既没有效率也不利于 ...

  9. java 计算移动平均线_基于Java语言开发的个性化股票分析技术:移动平均线(MA)...

    基于Java语言开发的个性化股票分析技术:移动平均线(MA) 基于 Java 语言开发的个性化股票分析技术:移动平均线(MA)移动平均线(MA)是以道·琼斯的"平均成本概念"为理论 ...

最新文章

  1. 地址池命令 思科理由_思科互联网络操作系统 ——路由器接口
  2. 智能车竞赛技术报告 | 智能车视觉 - 中国计量大学 - 赛博-10
  3. [**经典**] 电脑故障检查不完全流程图
  4. 服务器重启后,启动SAP ERP的步骤
  5. Python __all__
  6. 程序员40岁之后怎么办
  7. webstorm快捷键说明
  8. AndroidStudio Gradle自定义属性xmlns无法识别
  9. Node — 第七天 (大事件项目接口实现一)
  10. JS Compress and Decompress
  11. Cronolog 分割 Tomcat8 Catalina.out日志 (转)
  12. shell 数组详解
  13. c++ 多线程_python要点-多线程
  14. 【转载】使用Winrar对压缩文件进行加密,并且给定解压密码
  15. 产品需求分析流程图怎么做?软件我都帮你找了
  16. java 多次正则匹配_Java正则多次匹配和多次组匹配
  17. QT UDP通信(单播、广播、组播)
  18. 由连连看游戏作弊器想到的
  19. 音视频技术开发周刊 | 279
  20. vue3中组件给后代组件传值,provide和inject的使用

热门文章

  1. Unity LoadImage LoadRawTextureData 方法加载 Bitmap
  2. c语言:关于vs2012使用easyX的loadimage()加载资源图片
  3. 使用合成数据改善机器学习中的极度不平衡数据集
  4. kindeditor去掉图片空间
  5. 由于找不到msvcp110.dll,无法继续执行代码。重新安装可以能会解决此问题
  6. Win64下汇编写对话框
  7. 计算机毕设 SpringBoot+Vue幼儿园管理系统 幼儿园信息管理系统 智慧幼儿园管理系统Java Vue MySQL数据库 远程调试 代码讲解
  8. UE4罗技方向盘按键绑定关系
  9. 招聘 | 阿里达摩院决策智能实验室招聘全职/博后/实习生-杭州/西雅图-内推
  10. zrender源码分析--初探