大部分内容来自野火的FPGA教学视频,笔者仅作整理,方便回顾。 视频地址:【野火】FPGA系列教学视频,真正的手把手教学,“波形图”教学法,现场画波形图写代码,硬件基于野火FPGA EP4CE10征途系列开发板,已完结_哔哩哔哩_bilibili

IP核的定义

IP(Intellectual Property),即知识产权,在半导体产业中将IP核定义为“用于ASIC或FPGA中的预先设计好的电路功能模块”,简而言之,这里的IP核即电路功能模块。

IP核往往不能跨平台使用;IP核不透明,看不到内部核心代码,无法进行修改优化;定制IP核需额外收费。

IP核的存在形式

HDL语言形式-----软核(软IP)

硬件描述语言;可进行参数调整、复用性强;布局、布线灵活;设计周期短、设计投入少。

这是大多数FPGA内IP核的存在形式,以nsdl源文件的形式提供,不涉及物理实现,为后续设计留下发挥空间,以加密的形式提供,用户看不到RTL代码,可通过头文件或GUI界面对参数进行设置。以源代码的形式提供,尽管有加密措施,但仍有知识产权问题。

网表形式----固核

完成了综合的功能块;可预布线特定信号或分配特定的布线资源。

软核与硬核的折中,适合于对时序要求严格的内核(例如PCIE)。有部分固定的布局,会影响其他电路的布局。

版图形式----硬核

硬核是完成提供设计的最终阶段产品--掩膜(Mask);缺乏灵活性、可移植性差;更易于实现IP核的保护。

Mega Wizard插件管理器调用IP核(Quartus II软件)

新版本的Quartus II 14.0 的Tools菜单中不再有“MegaWizard Plug-In Manager”,而是更名为“IP CATALOG”了。

点击Tool菜单下IP CATALOG选项,在屏幕右侧出现IP核选择界面,点击Device Family选择所用的FPGA芯片系列,之后在搜索框中搜索或分类中找到要用的IP核,选中并点击下方Add。

如果是在工程内部打开IP CATALOG则没有Device Family选项,因为工程中已经确定使用的FPGA芯片,所以不再有芯片系列选择选项,忽略即可。

点击Add后会出现文件保存、语言选择的界面,根据需要选择即可。之后便进入IP核配置界面,这部分详见各IP核介绍。

                       

调用IP核

与普通的实例化相同,在成功生成IP核的个文件后,点击xx_inst.v文件,复制到相应的文件中,修改参数完成实例化即可。

复制生成的IP核

复制生成的IP核文件,在工程中添加文件,添加IP核的.qip文件即可。

常用IP核介绍(Quartus II软件)

PLL IP核介绍

PLL(Phase Locked Loop),即锁相环,其性能强大,可以对输入到FPGA的时钟信号进行任意分频、倍频、相位调整、占空比调整,从而输出一个期望时钟。 PLL IP核能对输入的时钟信号进行优化,使信号在抖动等方面的性能更好,所以即使不对输入的时钟做参数上的修改也可以使用PLL。

配置过程

一、进入pll配置界面后,如下图所示,分别设置1、芯片的速度等级;2、输入时钟信号的频率(也可更改单位,输入时钟信号的周期等);3、选择PLL的工作模式。然后点击下一步。四种工作模式的特点如下,根据需要选择。 

标准模式:对FPGA时钟输入和PLL核产生的用于内部寄存器的时钟进行补偿,使两者的相位相同,不考虑PLL产生的输出到FPGA外部的时钟,如下图所示。所以该模式下PLL产生的时钟使用在内部寄存器上,不要用于时钟输出。

源补偿模式:即数据和时钟来源于同一个数据源。 对数据输入和时钟输入进行补偿,使FPGA输入端口上数据输入和时钟输入之间的相位关系与寄存器上的数据输入和时钟输入保持一致(整体有延迟),如下图所示。该模式用于数据接口,特别是高速数据接口。

零延时缓冲模式:该模式与标准模式相反,PLL产生的时钟中,用于输出到FPGA外部的时钟进行了补偿,使之输入的时钟相位相同,而不考虑用于内部寄存器的时钟,内部寄存器时钟相位有偏差,如下图所示。该模式产生的时钟用于输出。

无补偿模式:不进行任何补偿。

 

        二、如下图所示,红圈中选项为创建异步复位信号,一般不用,根据需要选择,这里选择取消。在Lock Output中勾选了创建锁定输出,该选项选中后会有一个锁定输出,该输出为高电平时有效,表示输出的时钟可用,低电平时表示输出的时钟还不能使用,这里选择勾选上。点击下一步

三、连续点击下一步,进入输出时钟配置选项卡,如下图所示,期间的其他界面为PLL的其他高级功能,这里不做介绍。PLL IP核最多可输出5个时钟,在时钟输出配置选项卡下方可选择c0到c4子选项卡,在相应的子选项卡下勾选Use this dock则启该时钟输出。

所有的参数设置框右侧都有着另一个框,这个参数框不能编辑,是系统根据用户在左侧输入的参数,结合实际电路设置的实际参数,会尽量接近用户设置的参数理想输入。例如若在相位调整中输入10.00,实际右侧为10.08,这便是系统能调整的最接近10.00的值。

选中enter output clock parameter,在输出时钟参数设置中可输入乘法因子和除法因子,最终该时钟输出的时钟频率为FPGA输入时钟除以除法因子,在乘以乘法因子,也可选中enter output clock frequency,输入需要的时钟频率,系统会计算并自动设置除法和乘法因子,输出最接近的时钟频率,注意对于无法通过因子乘除得到的频率,只会输出最接近的频率。

在clock phase shift中输入相位值可对相位进行调整,可选择角度和时间单位。在clock duty cycle中调整占空比。所有参数设置完毕后,点击下一步,进入EDA选项卡。

四、 该选项卡下,如下图所示,划红线为仿真所需的库文件,当使用quartus与modlesim联合仿真时,该文件自动添加,但如果用modelsim单独仿真,需要手动添加。点击下一步。

五、进入Summary选项卡,这里设置需要输出的文件,除了必须输出的.v和.ppf文件外,还需输出一个xx_inst.v文件,其他文件不推荐,如下图所示。点击finish完成PLL的配置。

FPGA学习杂记4——IP核简介、调用、复制和PLL IP核介绍相关推荐

  1. IP归属PHP调用,解析php根据ip查询所在地区(非常有用,赶集网就用到)

    dat文件,关于ip对应地区的信息文件 qqwry.dat文件 网上自己下载 class类文件,解析qqwry.data文件的 IpLocation.php文件 代码如下: class IpLocat ...

  2. FPGA学习之HDMI接口显示

    FPGA学习之HDMI接口显示 简介 程序代码 实验任务 实验原理 代码部分 方块移动实验 参考正点原子视频 简介 HDMI接口英文全称叫High Definition Multimedia Inte ...

  3. 小猫爪:S32K3学习笔记09-S32K3之Safety简介

    小猫爪:S32K3学习笔记09-S32K3之Safety简介 1 前言 2 Safety相关硬件介绍 3 Safety相关软件介绍 4 Safety文章目录 END 1 前言   接下来,就要开始进学 ...

  4. 【DB宝44】Oracle rac集群中的IP类型简介

    文章目录 Oracle rac集群中的IP类型简介 (一)Public IP (二)Private IP (三)Virtual IP(VIP) (四)SCAN IP (五)GNS VIP (六)HAI ...

  5. python数据挖掘学习笔记】十四.Scipy调用curve_fit实现曲线拟合

    #2018-03-28 10:02:08 March Wednesday the 13 week, the 087 day SZ SSMR python数据挖掘学习笔记]十四.Scipy调用curve ...

  6. Vivado PLL IP核的使用

    一.PLL IP核配置 当我们需要用到分频或者倍频的时候,就需要使用Vivado中的 PLL IP核来获得我们想要的时钟频率.下面简单说明一下如何配置PLL IP核. 1.查找 PLL IP核 2.指 ...

  7. vivado MMCM/PLL IP使用

    0 文章目录 1)MMCM/PLL简介 2)MMCM/PLL IP核定制 3)MMCM/PLL IP调用 4)结束语 1 MMCM/PLL简介 1)锁相环是什么? 锁相环作为一种反馈控制电路,其特点是 ...

  8. IP核简介及PLL_IP核的调用

    文章目录 前言 一.IP核是什么? 1.定义 2.优点 3.IP核存在形式(依据产品交付方式) 4.缺点 5.调用IP核的几种方式 二.PLL-IP核 1.PLL-IP核简介 1.工作原理 2.倍频 ...

  9. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

最新文章

  1. mysql to double_MySQL令人咋舌的隐式转换 - 惊觉...
  2. 多项式加法c语言数组解,急!!!!c语言:求n次多项式的加法和乘法
  3. vue 声明周期函数_Vue2.0 探索之路——生命周期和钩子函数的一些理解
  4. Openstack DashBoard:不能创建用户和配额的问题
  5. 理解Flex itemRenderer(5)--效率
  6. 革命性提升-宇宙最强的NLP预训练BERT模型(附官方代码)
  7. 编译hadoop的eclipse插件hadoop-eclipse-plugin-1.2.1.jar
  8. noise函数的使用
  9. Druid的Segment Balance及其代价计算函数分析
  10. 对break和continue的一些个人认知(称不上见解)
  11. wordpress iis php,Windows IIS 上安装部署 WordPress 网站快速简要教程
  12. 【美】纳西姆·尼古拉斯·塔勒布 - 随机生存的智慧(2013年7月16日)
  13. php guzzlehttp,使用Guzzle执行HTTP请求
  14. 调用Windows api 窗口截图
  15. Centos 桌面卡死解决办法,不关闭程序重启桌面
  16. 编程的教学方法和学习方法的思考
  17. 安装gin和mod使用
  18. 量化金融kaggle竞赛汇总
  19. 火狐Firefox地址栏搜索引擎修改方法!
  20. VTS manifest xml

热门文章

  1. 战略变了 IBM服务器由产品到解决方案的转变
  2. SpringBoot框架的环境搭建与使用
  3. fastText训练集对比,分词与句子
  4. 【Stream】java8新特性Stream流总结
  5. eq, neq.gt,ge,lte,lt,not,mod的含义
  6. 一个屌丝程序猿的人生(五)
  7. linux 服务器访问限制,Linux中限制用户访问权限的3种方法
  8. 树莓派小车————全部代码
  9. NTL库在Win上基于MinGW的安装
  10. 270天不回家的“空中飞人们” 下一步要去哪里?