作者

QQ群:852283276
微信:arm80x86
微信公众号:青儿创客基地
B站:主页 https://space.bilibili.com/208826118

作者

QQ群:852283276
微信:arm80x86
微信公众号:青儿创客基地
B站:主页 https://space.bilibili.com/208826118

参考

官网下载
vivado 设置 多线程编译

方法

以vivado2015.2.1为例,先安装vivado2015.2,再安装vivado2015.2.1更新包,选下面两个都可以,看需求,
选上sdk,按需选择,
添加license,
安装完后卸载烦人的xic,xilinx information center,

设置多线程

编译时弹出的对话框可以选择线程数。
-----------------------------------Place Route
Windows默认----------------------2 2
Linux默认---------------------------4 4
Windows开启maxThreads=8–4 4
Linux开启maxThreads=8-------8 8

set_param general.maxThreads 4
get_param general.maxThreads

tcl

命令行使用tcl,vivado -mode tcl,使用前要先source一下settings64.sh文件,再执行start_gui可打开当前工程GUI。Linux和Windows下都可用GUI来使用tcl。

下载器驱动安装失败

Vivado无法扫描到FPGA,设备管理器中显示Xilinx Platform Cable USB Firmware Loader,可能是我安装过SDK2018.2,

在设备上右键菜单卸载删除驱动,

我本打算到安装包中手动安装驱动,但奇迹出现了,删除驱动后,又自动安装了一个驱动,变正常的了,由于异常过,这儿不能auto connect,选择手动发现就可以了。

Linux

Ubuntu

  • 换了个i3-9100F的无法安装ubuntu16.04.6,换了最新的ubuntu20.04,安装vivado2018.2,卡在Generating installed devices list,换了ubuntu18.04,可以安装vivado2018.2,总是闪屏,更新显卡驱动,终于稳定了。
  • 更改ubuntu的dash为bash

命令行安装,

$ sudo apt update
$ sudo apt upgrade
$ sudo apt install libncurses5
$ sudo apt install libtinfo5
$ sudo apt install libncurses5-dev libncursesw5-dev
$ sudo apt install ncurses-compat-libs
# ./xsetup -b ConfigGen
Running in batch mode...
Copyright (c) 1986-2021 Xilinx, Inc.  All rights reserved.INFO : Log file location - /root/.Xilinx/xinstall/xinstall_1627208663081.log
Select a Product from the list:
1. Vitis
2. Vivado
3. On-Premises Install for Cloud Deployments
4. BootGen
5. Lab Edition
6. Hardware Server
7. Documentation Navigator (Standalone)Please choose: 2INFO : Config file available at /root/.Xilinx/install_config.txt. Please use -c <filename> to point to this install configuration.
# 修改安装路径 /opt/Xilinx
# vi /root/.Xilinx/install_config.txt
# ./xsetup -a XilinxEULA,3rdPartyEULA,WebTalkTerms -b Install -c /root/.Xilinx/install_config.txt

安装下载器驱动,

$ cd /opt/Xilinx/Vivado/2020.1/data/xicom/cable_drivers/lin64/install_script/install_drivers/
$ ./install_drivers
$ sudo reboot

安装hw_server,

# ./xsetup -b ConfigGen
Running in batch mode...
Copyright (c) 1986-2021 Xilinx, Inc.  All rights reserved.INFO : Log file location - /root/.Xilinx/xinstall/xinstall_1627207983309.log
Select a Product from the list:
1. Vitis
2. Vivado
3. On-Premises Install for Cloud Deployments
4. BootGen
5. Lab Edition
6. Hardware Server
7. Documentation Navigator (Standalone)Please choose: 6INFO : Config file available at /root/.Xilinx/install_config.txt. Please use -c <filename> to point to this install configuration.
# 修改安装路径 /opt/Xilinx
# vi /root/.Xilinx/install_config.txt
# ./xsetup -a XilinxEULA,3rdPartyEULA,WebTalkTerms -b Install -c /root/.Xilinx/install_config.txt

Centos8

# yum install ncurses
# yum install ncurses-compat-libs

WARN : com.xilinx.installer.utils.o:? - Could not load native code

我在Windows下解压,在ubuntu中安装失败,copy到ubuntu中再解压安装就可以了。

Xilinx Vivado和SDK安装相关推荐

  1. Vivado 2017.2 安装教程(含多版本各类安装包)

    今天给大侠带来FPGA Xilinx Vivado 2017.2 安装教程,话不多说,上货. 各类软件安装包获取方式 Vivado 2017.2版本安装包获取,可在公众号内部回复"Vivad ...

  2. linux系统安装vivado软件,vivado 2017下载 Xilinx Vivado HLx 2017.2 在线安装包 官方安装版(附许可证) 64位 Linux版 下载-脚本之家...

    vivado 2017.1是一款Xilinx开发的功能强大的产品加工分析软件,在专业化的产品加工方面,提高产品上市的时间决定于加工的流程设计以及优化的设计方案,定制一套专业的加工流程是每一个厂家以及设 ...

  3. xilinx fpga 开发工具vivado 软件的安装,使用详细教程

    文章目录 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 xilinx fpga 开发工具vivado 软件的安装,使用详细教程 点此下载vivado软件的安装说明文档 点此下 ...

  4. 在win10环境中安装xilinx vivado IDE时出现的问题及解决方法

    在win10环境中安装xilinx vivado IDE时出现的问题及解决方法 参考文章: (1)在win10环境中安装xilinx vivado IDE时出现的问题及解决方法 (2)https:// ...

  5. vivado 2017.4安装步骤

    目录:windows安装vivado2017.4:虚拟机ubuntu安装vivado 2017.4:ios安装vivado. 一,windows安装vivado2017.4 xilinx官网下载地址为 ...

  6. 关于Xilinx下载器驱动安装及常见问题解决方法

    PC操作系统平台:Win7x64 ISE14.4/ISE14.7 下载器工作状态指示灯说明: 如果Xilinx的下载器与电脑连接之后,下载器上面的指示灯不亮,说明PC上安装的下载器驱动有问题或者是下载 ...

  7. xilinx vivado 2019 驱动问题,Connecting to hw_server url TCP:localhost:3121,jtag连接不上

    问题: 在对vivado2019.2软件中烧写比特流时,打开硬件目标找不到JTAG,open target连接不上,显示信息为: INFO: [Labtools 27-2285] Connecting ...

  8. Xilinx SDx 2018.3安装

    作者 QQ群:852283276 微信:arm80x86 微信公众号:青儿创客基地 B站:主页 https://space.bilibili.com/208826118 方法 ubuntu16.04. ...

  9. 使用Xilinx Vivado 创建自己板卡文件-以 EBAZ4205(旷板ZYNQ7010) 为例

    使用Xilinx Vivado 创建自己板卡文件-以 EBAZ4205(旷板ZYNQ7010) 为例 我们在使用Vivado创建工程时,每次都需要选择相关的板卡器件,比较麻烦,这篇文章就教你怎么创建属 ...

最新文章

  1. 使用PHP搞定支付宝、微信扫码支付
  2. vue 如何生成一个dom元素_vue 学习心得——DOM树如何被构建
  3. Building credit scorecards using SAS and Python
  4. python对律师的作用_想知道在大家眼中律师的作用是什么
  5. java swing form_在java swing中创建表单最简单的方法是什么?
  6. 配置Maven使用Nexus
  7. php中写alter,MySQL之alter语句用法总结
  8. 【tool】部署前端工具
  9. python 用法 argparse.ArgumentParser()用法解析
  10. android开发学习笔记系列(1)-android起航
  11. eclipse 中System.out快捷键设定
  12. Typora 图床配置
  13. 学科实践活动感悟50字_连江县高中综合实践活动学科马春晖名师工作室开展送教送培活动...
  14. 表贴电阻尺寸与什么有关_贴片电阻功率及封装尺寸
  15. 使用python实现猴子摘香蕉问题
  16. Sen2Cor+SNAP处理Sentinel数据
  17. 华为分布式文件存储服务器配置,分布式存储服务器
  18. 量化(3): 量化校准
  19. TCP/IP协议(二、初识tcp)
  20. 大端小端与LSB和MSB的小故事

热门文章

  1. 转载ubuntu最简单显卡驱动与cuda安装
  2. win10家庭版升级专业版的两种方法和密钥
  3. 【学习笔记】Linux,Ubuntu(v18) 命令笔记
  4. LoadIcon(),加载系统图标说明
  5. 基于matlab频率估计算法对比,包括统计M.Westlund算法,BTDT,CZT,ZOOM-FFT 等的
  6. java面向对象--超市购物程序
  7. 计算机中的用户组可以删除吗,在windows中,用户、帐号、用户组三者的关系是什么??...
  8. CT相关医疗设备原理介绍,以及中外自制作品思路赏析
  9. 真正优秀的人,大多不合群
  10. java人民币换欧元_java – PdfBox编码符号货币欧元