更多嵌入式原创文章,请关注公众号:一口Linux

1. SPI总线

1.1. SPI总线概述

SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外围设备接口。是Motorola首先在其MC68HCXX系列处理器上定义的。SPI接口主要应用在 EEPROM,FLASH,实时时钟,AD转换器,还有数字信号处理器和数字信号解码器之间。SPI,是一种高速的,全双工,同步的通信总线,并且在芯片的管脚上只占用四根线,节约了芯片的管脚,同时为PCB的布局上节省空间,提供方便,正是出于这种简单易用的特性,现在越来越多的芯片集成了这种通信协议。
SPI总线的构成及信号类型如图1-1所示:

  • MOSI – 主设备数据输出,从设备数据输入 对应MOSI master output slave input
  • MISO – 主设备数据输入,从设备数据输出 对应MISO master input slave output
  • CLK – 时钟信号,由主设备产生
  • nCS – 从设备使能信号,由主设备控制

1.2. SPI总线时序

SPI接口在Master控制下产生的从设备使能信号和时钟信号,两个双向移位寄存器按位传输进行数据交换,传输数据高位在前(MSB first),低位在后。如下图所示,在CLK的下降沿上数据改变,上升沿一位数据被存入移位寄存器。

在一个SPI时钟周期内,会完成如下操作:
(1)Master通过MOSI线发送1位数据,同时Slave通过MOSI线读取这1位数据;
(2)Slave通过MISO线发送1位数据,同时Master通过MISO线读取这1位数据。
Master和Slave各有一个移位寄存器,如图1-3所示,而且这两个移位寄存器连接成环状。依照CLK的变化,数据以MSB first的方式依次移出Master寄存器和Slave寄存器,并且依次移入Slave寄存器和Master寄存器。当寄存器中的内容全部移出时,相当于完成了两个寄存器内容的交换。

1.3. SPI总线传输模式

SPI总线传输一共有4中模式,这4种模式分别由时钟极性(CPOL,Clock Polarity)和时钟相位(CPHA,Clock Phase)来定义,其中CPOL参数规定了SCK时钟信号空闲状态的电平,CPHA规定了数据是在SCK时钟的上升沿被采样还是下降沿被采样。这四种模式的时序图如下图1-4所示:

  • 模式0:CPOL= 0,CPHA=0。CLK串行时钟线空闲是为低电平,数据在SCK时钟的上升沿被采样,数据在CLK时钟的下降沿切换
  • 模式1:CPOL= 0,CPHA=1。CLK串行时钟线空闲是为低电平,数据在SCK时钟的下降沿被采样,数据在CLK时钟的上升沿切换
  • 模式2:CPOL= 1,CPHA=0。CLK串行时钟线空闲是为高电平,数据在SCK时钟的下降沿被采样,数据在CLK时钟的上升沿切换
  • 模式3:CPOL= 1,CPHA=1。CLK串行时钟线空闲是为高电平,数据在SCK时钟的上升沿被采样,数据在CLK时钟的下降沿切换
    其中比较常用的模式是模式0和模式3。为了更清晰的描述SPI总线的时序,下面展现了模式0下的SPI时序图1-5:

1.4. SPI总线的优缺点

(1) 在点对点的通信中,SPI接口不需要进行寻址操作,且为全双工通信,显得简单高效。
(2) SPI接口没有指定的流控制,没有应答机制确认是否接收到数据。

2. Linux SPI 框架

2.1. 软件架构

Linux系统对spi设备具有很好的支持,linux系统下的spi驱动程序从逻辑上可以分为3个部分:

  1. spi核心(SPI Core):SPI Core是Linux内核用来维护和管理spi的核心部分,SPI Core提供操作接口函数,允许一个spi master,spi driver和spi device初始化时在SPI Core中进行注册,以及推出时进行注销。
  2. spi控制器驱动(SPI Master Driver):SPI Master针对不同类型的spi控制器硬件,实现spi总线的硬件访问操作。SPI Master通过接口函数向SPI Core注册一个控制器。
  3. spi设备驱动(SPI Device Driver):SPI Driver是对应于spi设备端的驱动程序,通过接口函数向SPI Core进行注册,SPI Driver的作用是将spi设备挂接到spi总线上;
    Linux的软件架构图如图2-1所示:

2.2. 初始化及退出流程

2.2.1. 注册spi控制器

注册spi控制器到内核分为两个阶段:
第一个阶段,使用spi_alloc_master,分配一个spi_master的空间,具体流程如图2-2所示:

第二阶段,使用spi_register_master将第一阶段分配的spi_master注册到内核中,具体流程如2-3所示:

2.2.2. 注销spi控制器

spi控制器注销的流程如图2-4所示:

2.3. 关键数据结构

2.3.1. spi_device

struct spi_device {struct device         dev;      /*spi控制器对应的device结构struct spi_master   *master;      /*设备使用的master结构,挂在哪个主控制器下*/u32                     max_speed_hz;   /*通讯时钟最大频率*/u8                      chip_select;     /*片选号,每个master支持多个spi_device  */ u8                      mode;
#define SPI_CPHA        0x01                    /* clock phase */
#define SPI_CPOL        0x02                    /* clock polarity */
#define SPI_MODE_0      (0|0)                   /* (original MicroWire) */
#define SPI_MODE_1      (0|SPI_CPHA)
#define SPI_MODE_2      (SPI_CPOL|0)
#define SPI_MODE_3      (SPI_CPOL|SPI_CPHA)
#define SPI_CS_HIGH     0x04                    /* chipselect active high? */
#define SPI_LSB_FIRST   0x08                    /* per-word bits-on-wire */
#define SPI_3WIRE       0x10                    /* SI/SO signals shared */
#define SPI_LOOP        0x20                    /* loopback mode */
#define SPI_NO_CS       0x40                    /* 1 dev/bus, no chipselect */
#define SPI_READY       0x80                    /* slave pulls low to pause */u8                      bits_per_word;    /*每个字长的比特数,默认是8*/int                     irq;void                    *controller_state; /*控制器状态*/void                    *controller_data;   /*控制器数据*/char                    modalias[SPI_NAME_SIZE]; /* 设备驱动的名字 */int                     cs_gpio;        /* chip select gpio *//** likely need more hooks for more protocol options affecting how* the controller talks to each chip, like:*  - memory packing (12 bit samples into low bits, others zeroed)*  - priority*  - drop chipselect after each word*  - chipselect delays*  - ...*/
};

spi_device代表一个外围spi设备,由master controller driver注册完成后扫描BSP中注册设备产生的设备链表并向spi_bus注册产生。在内核中,每个spi_device代表一个物理的spi设备。

2.3.2. spi_driver

struct spi_driver {const struct spi_device_id *id_table; /*支持的spi_device设备表*/int                     (*probe)(struct spi_device *spi);int                     (*remove)(struct spi_device *spi);void                    (*shutdown)(struct spi_device *spi);int                     (*suspend)(struct spi_device *spi, pm_message_t mesg);int                     (*resume)(struct spi_device *spi);struct device_driver    driver;
};

spi_driver代表一个SPI protocol drivers,即外设驱动

2.3.3. struct spi_master

struct spi_master {struct device   dev;  /*spi控制器对应的device结构*/struct list_head list;  /*链表/* other than negative (== assign one dynamically), bus_num is fully* board-specific.  usually that simplifies to being SOC-specific.* example:  one SOC has three SPI controllers, numbered 0..2,* and one board's schematics might show it using SPI-2.  software* would normally use bus_num=2 for that controller.*/s16                     bus_num; /*总线(或控制器编号)*//* chipselects will be integral to many controllers; some others* might use board-specific GPIOs.*/u16                     num_chipselect; /*片选数量*//* some SPI controllers pose alignment requirements on DMAable* buffers; let protocol drivers know about these requirements.*/u16                     dma_alignment;/* spi_device.mode flags understood by this controller driver */u16                     mode_bits;   /* master支持的设备模式 *//* bitmask of supported bits_per_word for transfers */u32                     bits_per_word_mask;/* other constraints relevant to this driver */u16                     flags; /*用于限定某些限制条件的标志位
#define SPI_MASTER_HALF_DUPLEX  BIT(0)          /* can't do full duplex */
#define SPI_MASTER_NO_RX        BIT(1)          /* can't do buffer read */
#define SPI_MASTER_NO_TX        BIT(2)          /* can't do buffer write *//* lock and mutex for SPI bus locking */spinlock_t              bus_lock_spinlock;struct mutex            bus_lock_mutex;/* flag indicating that the SPI bus is locked for exclusive use */bool                    bus_lock_flag;/* Setup mode and clock, etc (spi driver may call many times).** IMPORTANT:  this may be called when transfers to another* device are active.  DO NOT UPDATE SHARED REGISTERS in ways* which could break those transfers.*/int                     (*setup)(struct spi_device *spi); /*根据spi设备更新硬件配置。设置spi工作模式、时钟等*//* bidirectional bulk transfers** + The transfer() method may not sleep; its main role is*   just to add the message to the queue.* + For now there's no remove-from-queue operation, or*   any other request management* + To a given spi_device, message queueing is pure fifo*
* + The master's main job is to process its message queue,*   selecting a chip then transferring data* + If there are multiple spi_device children, the i/o queue*   arbitration algorithm is unspecified (round robin, fifo,*   priority, reservations, preemption, etc)** + Chipselect stays active during the entire message*   (unless modified by spi_transfer.cs_change != 0).* + The message transfers use clock and SPI mode parameters*   previously established by setup() for this device*/int                     (*transfer)(struct spi_device *spi,struct spi_message *mesg);   /*添加消息到队列的方法,此函数不可睡眠。它的职责是安排发生的传送并且调用注册的回调函数complete()*//* called on release() to free memory provided by spi_master */void                    (*cleanup)(struct spi_device *spi);/*cleanup函数会在spidev_release函数中被调用,spidev_release被登记为spi dev的release函数。*//** These hooks are for drivers that want to use the generic* master transfer queueing mechanism. If these are used, the* transfer() function above must NOT be specified by the driver.* Over time we expect SPI drivers to be phased over to this API.*/bool                      queued; struct kthread_worker  kworker; /*用于管理数据传输消息队列的工作队列线程*/struct task_struct     *kworker_task;struct kthread_work    pump_messages; /*具体实现数据传输队列的工作队列*/spinlock_t               queue_lock;struct list_head        queue; /*该控制器的消息队列,所有等待传输的队列挂在该链表下*/struct spi_message     *cur_msg;/*当前正在处理的消息队列*/bool                       busy; /忙状态*/bool                       running; /*正在跑*/bool                       rt; int (*prepare_transfer_hardware)(struct spi_master *master); /*回调函数,正式发起传输前会被调用,用于准备硬件资源*/int (*transfer_one_message)(struct spi_master *master, struct spi_message *mesg); /*单个消息的原子传输回调函数,队列中每个消息都会回调一次该回调来完成传输工作*/int (*unprepare_transfer_hardware)(struct spi_master *master); /*清理回调函数*//* gpio chip select */int                     *cs_gpios;
};

spi_master代表一个spi控制器。

2.3.4. struct spi_message 和spi_transfer

要完成和SPI设备的数据传输工作,我们还需要另外两个数据结构:spi_message和spi_transfer。

spi_message包含了一个的spi_transfer结构序列,一旦控制器接收了一个spi_message,其中的spi_transfer应该按顺序被发送,并且不能被其它spi_message打断,所以我们认为spi_message就是一次SPI数据交换的原子操作。下面我们看看这两个数据结构的定义:

struct spi_message :

struct spi_message {struct list_head        transfers;  /*spi_transfer链表队列,此次消息的传输段队列,一个消息可以包含多个传输段。*/struct spi_device       *spi; /*传输的目的设备*/unsigned                is_dma_mapped:1;  /*如果为真,此次调用提供dma和cpu虚拟地址。*//* REVISIT:  we might want a flag affecting the behavior of the* last transfer ... allowing things like "read 16 bit length L"* immediately followed by "read L bytes".  Basically imposing* a specific message scheduling algorithm.** Some controller drivers (message-at-a-time queue processing)* could provide that as their default scheduling algorithm.  But* others (with multi-message pipelines) could need a flag to* tell them about such special cases.*//* completion is reported through a callback */void                    (*complete)(void *context);/*异步调用完成后的回调函数*/void                    *context; /*回调函数的参数*/unsigned                actual_length; /*实际传输的长度*/int                     status; /*该消息的发送结果,成功被置0,否则是一个负的错误码。*//* for optional use by whatever driver currently owns the* spi_message ...  between calls to spi_async and then later* complete(), that's the spi_master controller driver.*/struct list_head        queue;void                    *state;
};

链表字段queue用于把该结构挂在代表控制器的spi_master结构的queue字段上,控制器上可以同时被加入多个spi_message进行排队。另一个链表字段transfers则用于链接挂在本message下的spi_tranfer结构。complete回调函数则会在该message下的所有spi_transfer都被传输完成时被调用,以便通知协议驱动处理接收到的数据以及准备下一批需要发送的数据。我们再来看看spi_transfer结构:
spi_transfer

struct spi_transfer {/* it's ok if tx_buf == rx_buf (right?)* for MicroWire, one buffer must be null* buffers must work with dma_*map_single() calls, unless*   spi_message.is_dma_mapped reports a pre-existing mapping*/const void     *tx_buf; /*发送缓冲区*/void            *rx_buf; /*接收缓冲区*/unsigned        len; /*缓冲区长度,tx和rx的大小(字节数)。指它们各自的大小*/dma_addr_t      tx_dma; /*tx的dma地址*/dma_addr_t      rx_dma;  /*rx的dma地址*/unsigned        cs_change:1; /*当前spi_transfer发送完成之后重新片选*/u8              bits_per_word; /*每个字长的比特数,0代表使用spi_device中的默认值8*/u16             delay_usecs; /*发送完成一个spi_transfer后的延时时间,此次传输结束和片选改变之间的延时,之后就会启动另一个传输或者结束整个消息*/u32             speed_hz; /*通信时钟。如果是0,使用默认值*/#ifdef CONFIG_SPI_LOMBOstruct lombo_spi_operate_para *esop;
#endifstruct list_head transfer_list; /*用于链接到spi_message,用来连接的双向链接节点*/};

首先,transfer_list链表字段用于把该transfer挂在一个spi_message结构中,tx_buf和rx_buf提供了非dma模式下的数据缓冲区地址,len则是需要传输数据的长度,tx_dma和rx_dma则给出了dma模式下的缓冲区地址。原则来讲,spi_transfer才是传输的最小单位,之所以又引进了spi_message进行打包,我觉得原因是:有时候希望往spi设备的多个不连续的地址(或寄存器)一次性写入,如果没有spi_message进行把这样的多个spi_transfer打包,因为通常真正的数据传送工作是在另一个内核线程(工作队列)中完成的,不打包的后果就是会造成更多的进程切换,效率降低,延迟增加,尤其对于多个不连续地址的小规模数据传送而言就更为明显。

2.3.5. spi_board_info

struct spi_board_info {/* the device name and module name are coupled, like platform_bus;* "modalias" is normally the driver name.** platform_data goes to spi_device.dev.platform_data,* controller_data goes to spi_device.controller_data,* irq is copied too*/char            modalias[SPI_NAME_SIZE]; /*名字*/const void      *platform_data; /*平台数据*/void            *controller_data; /*控制器数据*/int             irq;/* slower signaling on noisy or low voltage boards */u32             max_speed_hz; /*最大速率*//* bus_num is board specific and matches the bus_num of some* spi_master that will probably be registered later.** chip_select reflects how this chip is wired to that master;* it's less than num_chipselect.*/u16             bus_num; /*spi总线编号*/u16             chip_select; /*片选*//* mode becomes spi_device.mode, and is essential for chips* where the default of SPI_CS_HIGH = 0 is wrong.*/u8              mode; /*模式 *//* ... may need additional spi_device chip config data here.* avoid stuff protocol drivers can set; but include stuff* needed to behave without being bound to a driver:*  - quirks like clock rate mattering when not selected*/
};

2.4. 数据传输流程

整体的数据传输流程大致上是这样的:

  1. 定义一个spi_message结构;
  2. 用spi_message_init函数初始化spi_message;
  3. 定义一个或数个spi_transfer结构,初始化并为数据准备缓冲区并赋值给spi_transfer相应的字段(tx_buf,rx_buf等);
  4. 通过spi_message_init函数把这些spi_transfer挂在spi_message结构下;
  5. 如果使用同步方式,调用spi_sync(),如果使用异步方式,调用spi_async();(我调试外设时,只使用过spi_sync

传输示意图如图2-5所示:

2.4.1. 数据准备

2.4.1.1. spi_message_init

static inline void spi_message_init(struct spi_message *m)
{memset(m, 0, sizeof *m);INIT_LIST_HEAD(&m->transfers);
}

初始化spi_message:清空message,初始化transfers链表头。

2.4.1.2. spi_message_add_tail

static inline void
spi_message_add_tail(struct spi_transfer *t, struct spi_message *m)
{list_add_tail(&t->transfer_list, &m->transfers);
}

将spi_transfer加入到spi_message的链表尾部。

2.4.2. 数据传输

SPI数据传输可以有两种方式:同步方式和异步方式。所谓同步方式是指数据传输的发起者必须等待本次传输的结束,期间不能做其它事情,用代码来解释就是,调用传输的函数后,直到数据传输完成,函数才会返回。而异步方式则正好相反,数据传输的发起者无需等待传输的结束,数据传输期间还可以做其它事情,用代码来解释就是,调用传输的函数后,函数会立刻返回而不用等待数据传输完成,我们只需设置一个回调函数,传输完成后,该回调函数会被调用以通知发起者数据传送已经完成。同步方式简单易用,很适合处理那些少量数据的单次传输。但是对于数据量大、次数多的传输来说,异步方式就显得更加合适。
对于SPI控制器来说,要支持异步方式必须要考虑以下两种状况:

  • 对于同一个数据传输的发起者,既然异步方式无需等待数据传输完成即可返回,返回后,该发起者可以立刻又发起一个message,而这时上一个message还没有处理完。
  • 对于另外一个不同的发起者来说,也有可能同时发起一次message传输请求
    首先分析spi_sync()接口的实现流程,如图2-6:

其次分析spi_async_locked接口的实现流程,如图2-7所示:

spi_queued_transfer接口的实现流程如图3-8所示:

spi_pump_messages函数的处理流程如图3-9所示:

图中transfer_one_message是spi控制器驱动要实现的,主要功能是处理spi_message中的每个spi_transfer。

2.5. 关键函数解析

2.5.1. spi_alloc_master

原型:

struct spi_master *spi_alloc_master(struct device *dev, unsigned size)

功能:
分配一个spi_master结构体指针。

参数:
dev:spi控制器device指针
size :分配的driver-private data大小

返回值 :
成功,返回spi_master指针;否则返回NULL

2.5.2. spi_register_master

原型:

int spi_register_master(struct spi_master *master)

功能
注册spi控制器驱动到内核。

参数
master:spi_master指针

返回值
成功,返回0;否则返回错误码

2.5.3. spi_unregister_master

原型:

void spi_unregister_master(struct spi_master *master)

功能
注销spi控制器驱动。

参数
master:spi_master指针

返回值 无

3. Demo

(参考自正点原子)

#include <linux/types.h>
#include <linux/kernel.h>
#include <linux/delay.h>
#include <linux/ide.h>
#include <linux/init.h>
#include <linux/module.h>
#include <linux/errno.h>
#include <linux/gpio.h>
#include <linux/cdev.h>
#include <linux/device.h>
#include <linux/of_gpio.h>
#include <linux/semaphore.h>
#include <linux/timer.h>
#include <linux/i2c.h>
#include <linux/spi/spi.h>
#include <linux/of.h>
#include <linux/of_address.h>
#include <linux/of_gpio.h>
#include <linux/platform_device.h>
#include <asm/mach/map.h>
#include <asm/uaccess.h>
#include <asm/io.h>
#include "icm20608reg.h"
/***************************************************************
Copyright © ALIENTEK Co., Ltd. 1998-2029. All rights reserved.
文件名     : icm20608.c
作者      : 左工
版本      : V1.0
描述      : ICM20608 SPI驱动程序
其他      : 无
论坛  :
日志      : 初版V1.0 2019/9/2 左工创建
***************************************************************/
#define ICM20608_CNT    1
#define ICM20608_NAME   "icm20608"struct icm20608_dev {dev_t devid;               /* 设备号   */struct cdev cdev;            /* cdev     */struct class *class;      /* 类        */struct device *device;        /* 设备    */struct device_node   *nd;    /* 设备节点 */int major;                    /* 主设备号 */void *private_data;           /* 私有数据         */int cs_gpio;              /* 片选所使用的GPIO编号     */signed int gyro_x_adc;        /* 陀螺仪X轴原始值      */signed int gyro_y_adc;       /* 陀螺仪Y轴原始值     */signed int gyro_z_adc;        /* 陀螺仪Z轴原始值         */signed int accel_x_adc;       /* 加速度计X轴原始值    */signed int accel_y_adc;       /* 加速度计Y轴原始值    */signed int accel_z_adc;       /* 加速度计Z轴原始值    */signed int temp_adc;      /* 温度原始值            */
};static struct icm20608_dev icm20608dev;/** @description  : 从icm20608读取多个寄存器数据* @param - dev:  icm20608设备* @param - reg:  要读取的寄存器首地址* @param - val:  读取到的数据* @param - len:  要读取的数据长度* @return        : 操作结果*/
static int icm20608_read_regs(struct icm20608_dev *dev, u8 reg, void *buf, int len)
{int ret;unsigned char txdata[len];struct spi_message m;struct spi_transfer *t;struct spi_device *spi = (struct spi_device *)dev->private_data;gpio_set_value(dev->cs_gpio, 0);              /* 片选拉低,选中ICM20608 */t = kzalloc(sizeof(struct spi_transfer), GFP_KERNEL);  /* 申请内存 *//* 第1次,发送要读取的寄存地址 */txdata[0] = reg | 0x80;       /* 写数据的时候寄存器地址bit8要置1 */t->tx_buf = txdata;         /* 要发送的数据 */t->len = 1;                 /* 1个字节 */spi_message_init(&m);     /* 初始化spi_message */spi_message_add_tail(t, &m);/* 将spi_transfer添加到spi_message队列 */ret = spi_sync(spi, &m);    /* 同步发送 *//* 第2次,读取数据 */txdata[0] = 0xff;           /* 随便一个值,此处无意义 */t->rx_buf = buf;            /* 读取到的数据 */t->len = len;               /* 要读取的数据长度 */spi_message_init(&m);     /* 初始化spi_message */spi_message_add_tail(t, &m);/* 将spi_transfer添加到spi_message队列 */ret = spi_sync(spi, &m);    /* 同步发送 */kfree(t);                                 /* 释放内存 */gpio_set_value(dev->cs_gpio, 1);           /* 片选拉高,释放ICM20608 */return ret;
}/** @description  : 向icm20608多个寄存器写入数据* @param - dev:  icm20608设备* @param - reg:  要写入的寄存器首地址* @param - val:  要写入的数据缓冲区* @param - len:  要写入的数据长度* @return       :   操作结果*/
static s32 icm20608_write_regs(struct icm20608_dev *dev, u8 reg, u8 *buf, u8 len)
{int ret;unsigned char txdata[len];struct spi_message m;struct spi_transfer *t;struct spi_device *spi = (struct spi_device *)dev->private_data;t = kzalloc(sizeof(struct spi_transfer), GFP_KERNEL);   /* 申请内存 */gpio_set_value(dev->cs_gpio, 0);           /* 片选拉低 *//* 第1次,发送要读取的寄存地址 */txdata[0] = reg & ~0x80;  /* 写数据的时候寄存器地址bit8要清零 */t->tx_buf = txdata;         /* 要发送的数据 */t->len = 1;                 /* 1个字节 */spi_message_init(&m);     /* 初始化spi_message */spi_message_add_tail(t, &m);/* 将spi_transfer添加到spi_message队列 */ret = spi_sync(spi, &m);    /* 同步发送 *//* 第2次,发送要写入的数据 */t->tx_buf = buf;         /* 要写入的数据 */t->len = len;               /* 写入的字节数 */spi_message_init(&m);       /* 初始化spi_message */spi_message_add_tail(t, &m);/* 将spi_transfer添加到spi_message队列 */ret = spi_sync(spi, &m);    /* 同步发送 */kfree(t);                 /* 释放内存 */gpio_set_value(dev->cs_gpio, 1);/* 片选拉高,释放ICM20608 */return ret;
}/** @description  : 读取icm20608指定寄存器值,读取一个寄存器* @param - dev:  icm20608设备* @param - reg:  要读取的寄存器* @return      :   读取到的寄存器值*/
static unsigned char icm20608_read_onereg(struct icm20608_dev *dev, u8 reg)
{u8 data = 0;icm20608_read_regs(dev, reg, &data, 1);return data;
}/** @description  : 向icm20608指定寄存器写入指定的值,写一个寄存器* @param - dev:  icm20608设备* @param - reg:  要写的寄存器* @param - data: 要写入的值* @return   :    无*/    static void icm20608_write_onereg(struct icm20608_dev *dev, u8 reg, u8 value)
{u8 buf = value;icm20608_write_regs(dev, reg, &buf, 1);
}/** @description  : 读取ICM20608的数据,读取原始数据,包括三轴陀螺仪、*              : 三轴加速度计和内部温度。* @param - dev   : ICM20608设备* @return      : 无。*/
void icm20608_readdata(struct icm20608_dev *dev)
{unsigned char data[14];icm20608_read_regs(dev, ICM20_ACCEL_XOUT_H, data, 14);dev->accel_x_adc = (signed short)((data[0] << 8) | data[1]); dev->accel_y_adc = (signed short)((data[2] << 8) | data[3]); dev->accel_z_adc = (signed short)((data[4] << 8) | data[5]); dev->temp_adc    = (signed short)((data[6] << 8) | data[7]); dev->gyro_x_adc  = (signed short)((data[8] << 8) | data[9]); dev->gyro_y_adc  = (signed short)((data[10] << 8) | data[11]);dev->gyro_z_adc  = (signed short)((data[12] << 8) | data[13]);
}/** @description      : 打开设备* @param - inode     : 传递给驱动的inode* @param - filp   : 设备文件,file结构体有个叫做privateate_data的成员变量*                    一般在open的时候将private_data似有向设备结构体。* @return            : 0 成功;其他 失败*/
static int icm20608_open(struct inode *inode, struct file *filp)
{filp->private_data = &icm20608dev; /* 设置私有数据 */return 0;
}/** @description      : 从设备读取数据 * @param - filp  : 要打开的设备文件(文件描述符)* @param - buf    : 返回给用户空间的数据缓冲区* @param - cnt  : 要读取的数据长度* @param - offt  : 相对于文件首地址的偏移* @return             : 读取的字节数,如果为负值,表示读取失败*/
static ssize_t icm20608_read(struct file *filp, char __user *buf, size_t cnt, loff_t *off)
{signed int data[7];long err = 0;struct icm20608_dev *dev = (struct icm20608_dev *)filp->private_data;icm20608_readdata(dev);data[0] = dev->gyro_x_adc;data[1] = dev->gyro_y_adc;data[2] = dev->gyro_z_adc;data[3] = dev->accel_x_adc;data[4] = dev->accel_y_adc;data[5] = dev->accel_z_adc;data[6] = dev->temp_adc;err = copy_to_user(buf, data, sizeof(data));return 0;
}/** @description      : 关闭/释放设备* @param - filp   : 要关闭的设备文件(文件描述符)* @return             : 0 成功;其他 失败*/
static int icm20608_release(struct inode *inode, struct file *filp)
{return 0;
}/* icm20608操作函数 */
static const struct file_operations icm20608_ops = {.owner = THIS_MODULE,.open = icm20608_open,.read = icm20608_read,.release = icm20608_release,
};/** ICM20608内部寄存器初始化函数 * @param      : 无* @return   : 无*/
void icm20608_reginit(void)
{u8 value = 0;icm20608_write_onereg(&icm20608dev, ICM20_PWR_MGMT_1, 0x80);mdelay(50);icm20608_write_onereg(&icm20608dev, ICM20_PWR_MGMT_1, 0x01);mdelay(50);value = icm20608_read_onereg(&icm20608dev, ICM20_WHO_AM_I);printk("ICM20608 ID = %#X\r\n", value); icm20608_write_onereg(&icm20608dev, ICM20_SMPLRT_DIV, 0x00);    /* 输出速率是内部采样率                   */icm20608_write_onereg(&icm20608dev, ICM20_GYRO_CONFIG, 0x18);     /* 陀螺仪±2000dps量程                */icm20608_write_onereg(&icm20608dev, ICM20_ACCEL_CONFIG, 0x18);    /* 加速度计±16G量程                   */icm20608_write_onereg(&icm20608dev, ICM20_CONFIG, 0x04);      /* 陀螺仪低通滤波BW=20Hz              */icm20608_write_onereg(&icm20608dev, ICM20_ACCEL_CONFIG2, 0x04); /* 加速度计低通滤波BW=21.2Hz             */icm20608_write_onereg(&icm20608dev, ICM20_PWR_MGMT_2, 0x00);  /* 打开加速度计和陀螺仪所有轴                */icm20608_write_onereg(&icm20608dev, ICM20_LP_MODE_CFG, 0x00);     /* 关闭低功耗                        */icm20608_write_onereg(&icm20608dev, ICM20_FIFO_EN, 0x00);     /* 关闭FIFO                       */
}/** @description     : spi驱动的probe函数,当驱动与*                    设备匹配以后此函数就会执行* @param - client  : spi设备* @param - id      : spi设备ID* */
static int icm20608_probe(struct spi_device *spi)
{int ret = 0;/* 1、构建设备号 */if (icm20608dev.major) {icm20608dev.devid = MKDEV(icm20608dev.major, 0);register_chrdev_region(icm20608dev.devid, ICM20608_CNT, ICM20608_NAME);} else {alloc_chrdev_region(&icm20608dev.devid, 0, ICM20608_CNT, ICM20608_NAME);icm20608dev.major = MAJOR(icm20608dev.devid);}/* 2、注册设备 */cdev_init(&icm20608dev.cdev, &icm20608_ops);cdev_add(&icm20608dev.cdev, icm20608dev.devid, ICM20608_CNT);/* 3、创建类 */icm20608dev.class = class_create(THIS_MODULE, ICM20608_NAME);if (IS_ERR(icm20608dev.class)) {return PTR_ERR(icm20608dev.class);}/* 4、创建设备 */icm20608dev.device = device_create(icm20608dev.class, NULL, icm20608dev.devid, NULL, ICM20608_NAME);if (IS_ERR(icm20608dev.device)) {return PTR_ERR(icm20608dev.device);}/* 获取设备树中cs片选信号 */icm20608dev.nd = of_find_node_by_path("/soc/aips-bus@02000000/spba-bus@02000000/ecspi@02010000");if(icm20608dev.nd == NULL) {printk("ecspi3 node not find!\r\n");return -EINVAL;} /* 2、 获取设备树中的gpio属性,得到BEEP所使用的BEEP编号 */icm20608dev.cs_gpio = of_get_named_gpio(icm20608dev.nd, "cs-gpio", 0);if(icm20608dev.cs_gpio < 0) {printk("can't get cs-gpio");return -EINVAL;}/* 3、设置GPIO1_IO20为输出,并且输出高电平 */ret = gpio_direction_output(icm20608dev.cs_gpio, 1);if(ret < 0) {printk("can't set gpio!\r\n");}/*初始化spi_device */spi->mode = SPI_MODE_0; /*MODE0,CPOL=0,CPHA=0*/spi_setup(spi);icm20608dev.private_data = spi; /* 设置私有数据 *//* 初始化ICM20608内部寄存器 */icm20608_reginit();        return 0;
}/** @description     : spi驱动的remove函数,移除spi驱动的时候此函数会执行* @param - client   : spi设备* @return          : 0,成功;其他负值,失败*/
static int icm20608_remove(struct spi_device *spi)
{/* 删除设备 */cdev_del(&icm20608dev.cdev);unregister_chrdev_region(icm20608dev.devid, ICM20608_CNT);/* 注销掉类和设备 */device_destroy(icm20608dev.class, icm20608dev.devid);class_destroy(icm20608dev.class);return 0;
}/* 传统匹配方式ID列表 */
static const struct spi_device_id icm20608_id[] = {{"alientek,icm20608", 0},  {}
};/* 设备树匹配列表 */
static const struct of_device_id icm20608_of_match[] = {{ .compatible = "alientek,icm20608" },{ /* Sentinel */ }
};/* SPI驱动结构体 */
static struct spi_driver icm20608_driver = {.probe = icm20608_probe,.remove = icm20608_remove,.driver = {.owner = THIS_MODULE,.name = "icm20608",.of_match_table = icm20608_of_match, },.id_table = icm20608_id,
};/** @description : 驱动入口函数* @param       : 无* @return       : 无*/
static int __init icm20608_init(void)
{return spi_register_driver(&icm20608_driver);
}/** @description  : 驱动出口函数* @param       : 无* @return       : 无*/
static void __exit icm20608_exit(void)
{spi_unregister_driver(&icm20608_driver);
}module_init(icm20608_init);
module_exit(icm20608_exit);
MODULE_LICENSE("GPL");
MODULE_AUTHOR(yikoulinux");

Linux下SPI驱动详解相关推荐

  1. Linux下SPI驱动详解(干货)

    关注.星标公众号,直达精彩内容 本文由嵌入式大牛:蒙工投稿! 1. SPI总线 1.1. SPI总线概述 SPI,是英语Serial Peripheral interface的缩写,顾名思义就是串行外 ...

  2. Linux下USB驱动详解(HOST)

    转载地址:http://blog.chinaunix.net/uid-14518381-id-3904999.html USB驱动分为两块,一块是USB的bus驱动,这个东西,Linux内核已经做好了 ...

  3. linux usb gadget驱动详解(一)

    由于PC的推广,USB(通用串行总线)是我们最熟知的通信总线规范之一,其他的还有诸如以太网.PCIE总线和RS232串口等.这里我们主要讨论USB. USB是一个主从通信架构,但只能一主多从.其中us ...

  4. linux 命令xargs,Linux下xargs命令详解

    Linux下xargs命令详解 1. 简介   之所以能用到这个命令,关键是由于很多命令不支持|管道来传递参数,而日常工作中有有这个必要,所以就有了xargs命令,例如: find /sbin -pe ...

  5. linux usb gadget驱动详解(二)

    在上篇<linux usb gadget驱动详解(一)>中,我们了解到gadget的测试方法,但在最后,我们留下一个问题,就是怎样使用新的方法进行usb gadget驱动测试. 我们发现l ...

  6. Linux字符设备驱动详解七(“插件“设备树实现RGB灯驱动)

    文章目录 系列文章目录 前言 正文 Device Tree Overlays:"插件"设备树 传统设备树 "插件"设备树 使用前提 案例说明 设备树:foo.d ...

  7. Linux字符设备驱动详解四(使用自属的xbus驱动总线)

    文章目录 系列文章目录 前言 驱动目录 正文 驱动总线 总线管理 总线注册 设备注册 驱动注册 代码示例 总结 系列文章目录 Linux字符设备驱动详解 Linux字符设备驱动详解二(使用设备驱动模型 ...

  8. linux下dd命令详解

    linux下dd命令详解    名称: dd 使用权限: 所有使用者dd 这个指令在 manual 里的定义是 convert and copy a file 使用方式: dd [option] 如果 ...

  9. linux下scp命令详解

    http://www.cnblogs.com/xuxm2007/archive/2010/08/04/1792179.html linux下scp命令详解 http://www.9usb.net/20 ...

最新文章

  1. VMware扩大硬盘后修改Linux逻辑卷大小
  2. 20162309单步追踪数组及查找课下补分博客
  3. Pytorch搭建yolo3目标检测平台
  4. kienct -color
  5. [UVALive 3971] Assemble
  6. 多少秒算长镜头_自从搞烘焙后,遇到烘焙模具换算,秒变数学渣...
  7. poi 不自动计算 设置单元格公式_Java POI:如何读取Excel单元格的值而不是计算公式?...
  8. hashmap扩容_面试官问:HashMap在并发情况下为什么造成死循环?一脸懵
  9. 招聘人才的网站(杭州)
  10. Hadoop2.0安装
  11. 关于VS2008的Web创作组件安装错误
  12. 随机样本,方便样本与资源回应样本
  13. HTTP Error 500.30 - ANCM In-Process Start Failure 解决方法
  14. ArcGIS无插件加载(无偏移)天地图
  15. 定义矩形类(长length,宽widen)求面积(area)(简单例子)
  16. js中的内存溢出和内存泄漏
  17. 如何使用win7自带的测试工具测试电脑性能?
  18. abaqus linux命令,linux下安装abaqus
  19. python barrier_[Python 多线程] Barrier (十一)
  20. Access时间转换

热门文章

  1. cf922D(贪心)
  2. 电脑无法打字了,按个键盘老跳出窗口,像是快捷键怎么办?
  3. 矢量积法求解雅可比矩阵
  4. WPF InkCanvas MouseDown触发 MouseUp不触发问题
  5. 比特、字节、字的概念以及相互之间的联系
  6. LS1046系列之OK1046A-C SerDes通道分配和多路复用
  7. github发起PR(pull request)的教程以及常见操作
  8. Shell编程之条件语句(if单分支、双分支、多分支、case多分支)
  9. 广告灯c语言,单片机C语言LED广告灯程序
  10. kmdf驱动教程1——从Hello World开始