缩位运算符,即"reduction operator"。对于VHDL来说,很少有人知道其缩位运算符是什么。首先缩位运算的意思是把一个vector合并成一位,例如缩位与运算符:对于一个std_logic_vector名为example的变量,完成examlle[0] and example[1] and ... and example[22]这样的运算的运算符。

  • 对于VHDL-2008,直接用and就可以完成:and(example);

  • 用组合逻辑自己写一个函数,按位或/与即可。

    function and_reduct(slv : in std_logic_vector) return std_logic isvariable res_v : std_logic := '1';  -- Null slv vector will also return '1'
    beginfor i in slv'range loopres_v := res_v and slv(i);end loop;return res_v;
    end function;
    You can then use the function both inside and outside functions with:signal arg : std_logic_vector(7 downto 0);
    signal res : std_logic;
    ...
    res <= and_reduct(arg);
    
  • or_reduceand_reduce也可以完成上面的内容。要主要包含头文件std_logic_misc

参考文献

  1. https://electronics.stackexchange.com/questions/85922/vhdl-or-ing-bits-of-a-vector-together
  2. https://stackoverflow.com/questions/20296276/and-all-elements-of-an-n-bit-array-in-vhdl

VHDL缩位与/缩位或运算相关推荐

  1. 无符号定点数加法运算的VHDL描述

    无符号定点数加法运算的VHDL描述 使用VHDL描述定点运算时添加运算支持的详细步骤 定位到Xilinx的安装路径下,然后找到 D:\Xilinx\Vivado\2021.1\scripts\rt\d ...

  2. 【Verilog 语法】~ 关键字、运算符、数据类型、缩位运算、三态门设计、可综合、VHDL 的结构、VHDL:WAIT 语句格式、原语、编译预处理

    本章目录: 1. 关键字 2. 运算符 2.1 分类 2.1.1 按其功能分类 2.1.2 按其所带操作数的个数分类 2.1.2.1 举例 2.2 优先级 3. 数据类型 1) wire 型 2) r ...

  3. HDLBits答案(5)_Generate实例化模块

    Verilog的更多功能 HDLBits链接 三目运算符(形式:condition ? if_true : if_false) verilog中有跟c语言类似的三目运算符,这可以用于在一行中根据条件选 ...

  4. 阶乘末尾蓝桥杯java_Java实现第九届蓝桥杯阶乘位数

    阶乘位数 题目描述 小明维护着一个程序员论坛.现在他收集了一份"点赞"日志,日志共有N行.其中每一行的格式是: ts id 表示在ts时刻编号id的帖子收到一个"赞&qu ...

  5. HDLBits 系列(6)(Reduction)缩位运算符

    目录 抛砖引玉 Reduction在奇偶校验中的应用 抛砖引玉 您已经熟悉两个值之间的按位运算,例如a&b或a ^ b. 有时,如果向量很长,您想创建一个对一个向量的所有位进行操作的宽门,例如 ...

  6. C++ 字符串流stringstream(附蓝桥杯2018年第九届真题缩位求和题解)

    一.stringstream介绍 stringstream是 C++ 提供的一个字符串流(stream),,必须包含其头文件: #include <sstream> < sstrea ...

  7. 标题:缩位求和 在电子计算机普及以前,人们经常用一个粗略的方法来验算四则运算是否正确。 比如:248 * 15 = 3720 把乘数和被乘数分别逐位求和,如果是多位数再逐位求和,直到是1位数,得 2

    标题:缩位求和 在电子计算机普及以前,人们经常用一个粗略的方法来验算四则运算是否正确. 比如:248 * 15 = 3720 把乘数和被乘数分别逐位求和,如果是多位数再逐位求和,直到是1位数,得 2 ...

  8. [蓝桥杯][2018年第九届真题]小朋友崇拜圈、耐摔指数、堆的计数、缩位求和、约瑟夫环

    个人题解链接,蓝桥杯历届试题,正在更新中~ 文章目录 个人题解链接,蓝桥杯历届试题,正在更新中~ 小朋友崇拜圈 耐摔指数 堆的计数 缩位求和 约瑟夫环 小朋友崇拜圈 题目描述 班里N个小朋友,每个人都 ...

  9. Java实现第九届蓝桥杯缩位求和

    缩位求和 题目描述 在电子计算机普及以前,人们经常用一个粗略的方法来验算四则运算是否正确. 比如:248 * 15 = 3720 把乘数和被乘数分别逐位求和,如果是多位数再逐位求和,直到是1位数,得 ...

最新文章

  1. plotly基于dataframe数据绘制股票自定义K线图
  2. javafx_JavaFX技巧4:总结
  3. springCloud - 第13篇 - 服务监控 集群模式 Hystrix-turbine
  4. 堆排序时间复杂度_图解堆结构、堆排序及堆的应用
  5. mac下终端命令行下添加mysql命令
  6. 【Java】猜数字小游戏设计由浅入深
  7. 对 Java 意义重大的 7 个性能指标
  8. Spring Boot 2 (三):Spring Boot 开源软件都有哪些?
  9. EBSD测试常见的问题及解答(二)
  10. 未来计算机教师职业愿景展望,教师愿景与职业规划
  11. 产业“上链”至深处,京东云如何作为?
  12. 一行代码获取android手机deviceId
  13. 3步教你如何做好技术入股
  14. Kali linux下拓实N95外置网卡驱动安装教程
  15. uni-app学习日记1
  16. vim制作python3编辑器
  17. 精准身份证号验证的具体实现方法 校验码计算逻辑
  18. 在屏幕坐标和窗口坐标之见的转换
  19. tekton task资源
  20. Windows Azure实战pdf

热门文章

  1. 关于VMware虚拟机的侧通道缓解措施
  2. Verilog-LFSR线性反馈移位寄存器
  3. Navicat使用教程:使用Navicat Premium 12自动执行数据库复制(二)
  4. 振华石化采用霍尼韦尔技术实现轻烃综合利用
  5. 【k8s】八、Pod详解(二)
  6. nginx请求转发webSocket
  7. 科沃斯扫地机器人无语音提示_科沃斯机器人DN33常见问题及解决办法汇总
  8. osx修改hosts
  9. 针尖上带着脚镣跳舞的widget
  10. 翻拍老照片的实用方法