1、AD9174配置
本设计采用AD9174,其是一款高性能、双通道、16位数模转换器(DAC),支持高达12.6 GSPS的DAC采样速率。该器件具有8通道、15.4 Gbps JESD204B数据输入端口、高性能片内DAC时钟倍频器和数字信号处理功能,适合单频段和多频段直接至射频(RF)无线应用,本设计要求
(1)200M用户数据,通道内插x6,主路径内插x8,总共48倍内插,实现fs=9.6GHz;
(2)输入设备时钟频率为device clk=9600/16=600MHz,同时设备参考时钟=9600/4/348=6.25M;
(3)JESD204B参数配置为:L=1、M=2、F=4、S=1、N=16、N’=16、K=32;
(4)根据MFSL计算lane rate = (MSNFc10/8)/L=8Gbps,jesd coreclk=lane rate/40=200MHz;
(5)配置NCO = 3.8GHz;


2、HMC7044时钟配置
本设计采用的HMC7044是一款高性能双环路整数N分频抖动衰减器,能够选择参考并生成超低相位噪声的频率,支持配有并行或串行(JESD204B型)接口的高速数据转换器。其VCO范围为2150MHz ~ 2880MHz、2650MHz ~ 3550MHz。本设计采用外供时钟+PLL2的模式,本方案中的DAC采样率为9.6G,设计让DAC外部提供600M时钟,考虑到一般锁相环鉴相频率越高输出时钟相位噪声会好一些,因此hmc7044和DAC内部锁相环R分频都设置为1,7044输出600M送到DAC,再通过DAC内部锁相环倍频16倍实现9.6G采样。
内部配置如下图所示,总共实现四路时钟输出,分别为DAC device clk =3000M(VCO)/5= 600MHz、两路JESD sysref clk=3000M(VCO)/480 = 6.25M(供给DAC和FPGA)、jesd coreclk = lane rate/40=200M;

 时钟分配如图所示:
(1)参考时钟为外供100MHz时钟。
(2)需输出1路DAC所需600MHz时钟至DAC内部PLL进行倍频。
(3)需输出1路FPGA GTH工作所需的参考时钟200MHz,同时也可以作为jesd coreclk。
(4)需输出2路JESD204B接口工作所需的SYSREF,时钟频率为6.25MHz。

FPGA之JESD204B接口——总体概要 实例 中相关推荐

  1. JESD204B接口调试记录2 - 资料

    LMK04828 芯片手册相关资料,在TI官网下载:LMK04828 数据表.产品信息和支持 | 德州仪器 TI.com.cn 除了手册,还需要下载和使用TICSPRO-SW,产生所需要的时钟芯片配置 ...

  2. 基于FPGA的USB接口控制器设计(VHDL)(中)

    今天给大侠带来基于 FPGA 的 USB 接口控制器设计(VHDL),由于篇幅较长,分三篇.今天带来第二篇,中篇,USB通信原理.USB 系统开发以及设计实例.话不多说,上货. 之前有关于 Veril ...

  3. FPGA高速数据采集设计JESD204B接口

    FPGA高速数据采集设计JESD204B接口的应用场景 作者:陈刀刀 本文为明德扬原创文章,转载请注明出处! 一,JESD204B应用的优缺点 接触过FPGA高速数据采集设计的朋友,应该会听过新术语& ...

  4. python fpga chips_基于FPGA实现JESD204B高速接口设计

    曹鹏飞 摘 要:JESD204B接口是高速ADC和DAC芯片采用的数据通信接口之一,具有传输速率高,抗干扰能力强,芯片间同步方便等优点.目前国内JESD204B 接口应用多由国外集成芯片提供,缺乏自主 ...

  5. python实现简单的api接口-python中接口的实现实例

    接口基础知识: 简单说下接口测试,现在常用的2种接口就是http api和rpc协议的接口,今天主要说:http api接口是走http协议通过路径来区分调用的方法,请求报文格式都是key-value ...

  6. AD9680+JESD204B接口+FPGA FMC高速率数据采集板卡

    板卡概述: [FMC_XM155] FMC_XM155 是一款基于 VITA57.1 标准的,实现 2 路 14-bit.500MSPS/1GSPS/1.25GSPS 直流耦合 ADC 同步采集 FM ...

  7. python在律师上作中的实例_基于Python的律师信息查询接口调用代码实例

    基于Python的律师信息查询接口调用代码实例代码描述:基于Python的律师信息查询接口调用代码实例 代码平台:聚合数据 #!/usr/bin/python # -*- coding: utf-8 ...

  8. altera fpga sdi输出方案_FPGA在电力电子中的应用有哪些?

    大家好,很抱歉上周末没有及时更新公众号,本来这期想聊聊IGBT的拖尾电流,但是由于周末去深圳高交会(高新技术成果交易会)逛了一天,时间给耽搁了,感觉要想把拖尾电流讲清楚也不太容易,还得需要点时间,为了 ...

  9. 基于FPGA的VGA/LCD显示控制器设计(中)

    今天给大侠带来基于FPGA的VGA/LCD显示控制器设计,由于篇幅较长,分三篇.今天带来第二篇,中篇,VGA 显示原理以及VGA/LCD 显示控制器的基本框架,话不多说,上货. 之前也有图像处理以及V ...

  10. 基于FPGA的CAN接口开发

    基于Xilinx的A7系列FPGA的CAN总线协议开发 一.CAN总线协议介绍 CAN是 Controller Area Network 的缩写(以下称为 CAN ),是 ISO 国际标准化的串行通信 ...

最新文章

  1. react antd Table 选中某一行,其它行也被选中了
  2. python list是一个有序列表 这个与java的list是无序的列表 具有明显不同,一定要注意
  3. CentOS6.2部署mysql环境
  4. 打破学习的玻璃墙_打破Google背后的创新深度学习
  5. c++ map 析构函数_C++|类继承关系中的虚函数、虚析构函数、虚基类
  6. D3---01基础的柱状图制作(转)
  7. golang 数组组合成最小的整数_golang数组-----寻找数组中缺失的整数方法
  8. LeetCode:225. 用队列实现栈
  9. [语]××语录@××--第1篇
  10. Android Studio (6)---Gradle
  11. vb与数据库(一)之迟到的耿建玲视频总结
  12. WEB 开发异常:java.lang.ClassNotFoundException
  13. android表格布局的属性,android:TableLayout表格布局详解
  14. 机器学习 | 牛顿冷却定律
  15. 【星海出品】Horizon安装
  16. android 键盘设置详解
  17. 百度无人车量产真相是什么?芯片开启自产自销新模式?| AI Weekly
  18. Springboot 瘦身打包
  19. 全球十大资质正规现货黄金交易平台排名榜单(最新版汇总)
  20. RPA自动化办公07——Uibot流程加入python插件

热门文章

  1. 魔兽服务器信息文件,魔兽世界2.4.3公众测试服务器补丁说明文档
  2. 小米手机隐私相册在哪?将你的照片锁进“保险柜”
  3. 干货丨2021年UI设计风格新风向新趋势
  4. arduino简易电子琴
  5. Java简单项目:家庭记账系统
  6. Struts1 页面提交到ActionForm,form为null
  7. 戴尔微型计算机云处理器,为创作与品质而生 戴尔全新XPS15 OLED云解析
  8. 《舵机控制基本原理》
  9. Linux数据库迁移
  10. CoDeSys开发经验总结