文章目录

  • 1. ASIC设计流程
  • 2.设计各阶段使用到的工具

今天小编为大家介绍一下ASIC芯片的设计流程。
ASIC(Application Specific Integrated Circuit)即专用集成电路,是指应特定用户要求和特定电子系统的需要而设计、制造的集成电路。

1. ASIC设计流程

ASIC的设计流程大致如下图所示:

(1) RTL(Register Transfer Level)设计:利用硬件描述语言,对电路以寄存器之间的传输为基础进行描述。

(2) 验证:在功能上确保每一步设计与实现的流程转换时,能保证它的逻辑正确。

(3) 逻辑综合:将RTL级设计中所得的程序代码翻译成实际电路的各种元器件以及他们之间的连接关系,可以用一张表来表示,称为门级网表(Netlist)。门级网表也是一个标准的Verilog语言,比RTL层级更低。

(4) STA (Static Timing Analysis):套用特定的时序模型(Timing Model),针对特定电路分析其是否违反设计者给定的时序限制(Timing Constraint)。

(5) DFT (Design for Test):可测性设计,为了在芯片生产之后测试芯片的良率,看制作有无缺陷,一般是在电路中插入扫描链(scan chain)。

(6) 布局布线 (Place and Route):就是普通信号布线了,包括各种标准单元(基本逻辑门电路)之间的走线。比如我们平常听到的90nm工艺,实际上就是这里金属布线可以达到的最小宽度,从微观上看就是MOS管的沟通长度。

(7) Extrat RC 和 STA:前面综合后的STA用的是一个理想时序模型去做的,这个实际上并没有实际的时序信息。实际CELL摆在哪里,两个CELL之间的走线延时等信息都是没有的,因为这个时候还没有布局布线,两个的位置都是不确定的,自然没有这些信息。当位置确定后,才会真正的去提取这些延时信息,然后再做布局布线之后真正的时钟走线,时钟路径的延时也是更真实的。如果布局布线之后还有不满足时序的地方,也会退回去前面的阶段进行修改。

(8) 版图物理验证:对完成布线的物理版图进行功能和时序上的验证。验证的项目比较多,如LVS (Layout Vs Schematic)验证,版图与逻辑综合后的门级电路图的对比验证;DRC (Design Rule Checking),设计规则检查,检查连线间距,连线宽度等是否满足工艺要求;ERC (Electrical Rule Checking),电气规则检查,检查短路和开路等电气规则违例;等等。实际的后端流程还包括电路功耗分析,以及随着制造工艺不断进步产生的DFM (可制造性设计)问题。物理版图验证完成也就是整个芯片设计阶段完成,下面的就是芯片制造了。

(9) 物理版图以GDSII的文件格式交给芯片代工厂,芯片代工厂在晶圆硅片上做出实际的电路,再进行封装和测试,就得到了实际的芯片。

2.设计各阶段使用到的工具


如果大家对我们的文章感兴趣,可以关注我们的微信公众号:“图灵研究院”,实时关注我们的更新动态。

ASIC设计流程简介相关推荐

  1. 【华为】可编程ASIC设计方法简介(一)

    本次分享华为公司的<大规模逻辑设计指导书>中的"可编程ASIC设计方法简介"的部分内容. 关键词 :可编程逻辑电路 ASIC FPGA. 摘 要 :本文根据当今可编程逻 ...

  2. 典型ASIC设计流程

    ASIC设计流程总体分四步:确定项目需求.系统级设计.前端设计.后端设计.本文也将按照这个顺序展开.由于缺乏实际ASIC设计经验,难免有纰漏,如有错误欢迎大家指出~~ 1.确定项目需求 由公司市场部进 ...

  3. SDSoC软硬件协同设计流程系列——1.基于SDSoC的软硬件协同设计流程简介

    基于SDSoC的软硬件协同设计流程简介 Software Define 的概念 近年来"Software Define"软件定义这个词持续火热,全球知名技术研究和咨询公司Gartn ...

  4. ASIC设计流程及对应EDA工具简介

    我们一般将数字IC的设计过程分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计.有时候也把中间的逻辑综合( ...

  5. 数字ASIC设计流程前端到后端使用工具

    文章来于<ic设计流程与使用工具介绍> a.通用型数字Asic(从上到下) 在验证算法时一般使用C语言或者verilog来对系统算法进行建模,使用行为级描述来对算法功能的正确与否进行仿真. ...

  6. 【ASIC设计】ASIC设计流程

    项目策划 形成项目任务书(项目进度,周期管理等). 流程:[市场需求--调研--可行性研究--论证--决策--任务书].   系统说明及行为描述     确定设计对象和目标,进一步明确芯片功能.内外部 ...

  7. 关于IBM的专用集成电路设计流程以及RLM设计流程的优点详解

    1 前言 随着集成电路--ISL9220AIRTZ-T7A工艺技术的不断发展,集成电路的特征设计尺寸进入到深亚微米,芯片规模扩大到百万门级,从计算量.后端布局布线(placement&rout ...

  8. 数字IC设计(ASIC设计)完整流程详解

    目录 I.ASIC设计流程 一.确定项目需求 二.前端流程 三.后端流程 II.IC设计流程相关名词梳理(含各流程EDA工具梳理) III.IC设计流程整理(图片) I.ASIC设计流程 一.确定项目 ...

  9. ASIC设计开发流程

    ASIC设计流程 项目策划 形成项目任务书(项目进度,周期管理等). 流程:[市场需求--调研--可行性研究--论证--决策--任务书]. 系统说明及行为描述 确定设计对象和目标,进一步明确芯片功能. ...

  10. IC Compiler II(ICC II)后端设计流程——超详细

    Preface 本文中英文结合(学习一些专有名词),主要介绍ICC II软件进行后端设计的主要流程,在阅读之前需要对数字IC设计流程有一定的了解. 逻辑综合相关知识请查看:Synopsys逻辑综合及D ...

最新文章

  1. python-shutil学习
  2. php 用js 封装,JavaScript使用封装
  3. python方法大全参数是对象_向对象方法Python传递太多参数
  4. 484个PHP必备的函数集
  5. 上海day3 ----
  6. f-stack nginx 单进程模式BSD网络初始化流程
  7. 靠谱前端必上网站大全
  8. 将bilibili缓存的m4s音视频文件合并(基于ffmpeg/含xml弹幕转ass/附exe程序)
  9. C# 通过UDP 远程监控摄像头
  10. 计算机毕业设计之java+ssm企业员工考勤系统
  11. bitmap内存溢出
  12. ImGui 集成到 axmol 引擎
  13. 丑哥哥工作室官方网站开通啦
  14. windows与mac文件夹共享
  15. 好题分享:对撞机(luogu P1871)
  16. AutoFIS阅读总结
  17. 18.(地图工具篇)PostgreSQL实现shape数据转geojson数据
  18. linux之DMA-BUF使用指南
  19. 孔乙己终结者!GPT-4拿100美元自创业,还要让马斯克下岗
  20. On the Opportunities and Risks of Foundation Models-SOCIETY

热门文章

  1. MAC m1 PRO 安装安卓手机模拟器
  2. java长连接转短连接_HTTP的长连接和短连接转换接口(API)
  3. 字符串和数字相加,结果为字符串
  4. 深圳vpay钱包系统技术开发分享
  5. 计算机桌面上的照片转pdf免费,有没有免费将图片转PDF的工具?
  6. 4G和4G LTE之间的区别是什么?
  7. SXF2019集合遍历
  8. 计算机的内存时序参数,电脑内存条很重要的一个参数:时序
  9. ORACLE计算同比环比
  10. 阿里云dataV大屏可视化的使用攻略——vue项目