Learning is the only thing the mind never exhausts, never fears and never regrets.

                              -------Leonardo da Vinci

世上唯一能让心灵永不枯竭、永不恐惧、永不后悔是学习。

文章目录

  • 基于SelectIO的高速ADC时序实现
    • 引言
    • ADS42LB69
      • 芯片简介
      • 参数配置
      • 引脚
      • 接口时序
    • SelectIO GUI配置

基于SelectIO的高速ADC时序实现

引言

  本文通过以高速ADS42LB69芯片为例进行实战,利用SelectIO IP快速快速高效完成驱动的生成。关于SelectIO IP的使用,可以参考Xilinx SelectIO IP使用说明(一)。

ADS42LB69

芯片简介

  ADS42LB49和ADS42LB69是高线性度、双通道、14 和 16 位 250MSPS 模式转换器 (ADC) 系列,支持 DDR 和 QDR LVDS 输出接口。已缓冲模拟输入在大大减少采样保持毛刺脉冲能量的同时,在宽频率范围内提供统一的输入阻抗。采样时钟分频器可实现更灵活的系统时钟 架构设计。ADS42LBx9 以低功耗在宽输入频率范围内 提供出色的无杂散动态范围 (SFDR)。

图 1 ADS42LB69功能框图

双通道
14 和 16 位分辨率
最大时钟速率:250MSPS
支持高阻抗输入的模拟输入缓冲器
支持 1 分频,2 分频和 4 分频的灵活输入时钟缓冲器
2VPP 和 2.5VPP 差分满量程输入(SPI 可编程)
双倍数据速率 (DDR)或四倍数据速率 (QDR)低压差分信令 (LVDS)接口
功耗:820mW/通道
间隙抖动:85 fs
通道隔离:100dB

参数配置

  用户可以根据自己的需求将数据接口通过SPI配置成QDRDDR接口。在进行数据验证时,也可以使用测试模式,对收发数据进行验证以保证系统的正确性。另外,还可以对输入时钟进行延时调节或者通过SelectIO的delaydelayctrl功能对时钟信号进行微调,以满足时序要求。此方面不是本文重点,不做展开,更多内容参考官方data sheet。

图 2 SPI时序

引脚

  从下图可以看到,数据接口引脚采用1.8V供电,故数据接口为差分1.8V。

图 3 电源电压
图 4 数据端口信号

接口时序

  下图为ADS42LB69的DDR模式时序图,从图中可以看出有1对时钟接口,两个8对数据接口(DA与DB),每对数据接口分别在时钟的上升沿与下降沿采样,经过一个时钟周期可以捕获16位数据。

图 5 ADS42LB69的DDR模式时序图

SelectIO GUI配置

  根据以上对ads42lb69的了解,就可以轻松的配置SelectIO IP的GUI界面了。

  首先时钟接口与数据接口都是input,该时钟信号与RF模块时钟必须保持同源,以保证系统的相参性。由于ads42lb69采用DDR模式,且所有数据引脚都是并行,所以不选择串并转换器SERDES。

  由于数据时钟来源于ads42lb69引脚,故选择外部时钟,而非FPGA内部时钟。

  在实际处理高速数据时,往往存在由于布局布线导致的数据引脚之间的延时不相同,可以通过在每个数据引脚添加idelay、delayctrl模块对齐进行微调。或者,存在数据引脚与时钟引脚之间不对齐,通常对时钟引脚添加idelay、delayctrl模块对其进行微调。

  IP生成之后,通过右击选择Open IP Example Design进行仿真以加强理解,在线DEBUG调试延时模块,以达到设计要求。

基于SelectIO的高速ADC时序实现(二)相关推荐

  1. 读论文之《基于EV10AQ190的高速ADC接口设计》

    背景: 之前通过数据手册简单地认识了一下 EV10AQ190这款ADC芯片,见博文地址: EV10AQ190A(4核ADC)博客精选目录 这个博文目录里面收录了有关博文,可以作为初步参考. 回顾: 今 ...

  2. 高速ad指标分析 matlab,高速ADC的动态性能参数和基于DSP技术的测试方法研究

    高速ADC是信号处理机的不可欠缺的组成部分,其性能的好坏对信号处理系统的整体性能也至关重要.通常ADC的技术参数是由生产厂商提供,可作为设计的重要依据,但是在电路板上形成的ADC模块的性能如何,还与A ...

  3. 基于STC8G8K64U三通道高速ADC采集板

    设计采集板的目标 设计基于STC8G8K64U单片机的高速ADC采样板,可以为普通的电路实验提供快速波形采样的模块. 该模块也可以应用于全国大学生智能车竞赛声音信标组数据采集实验中. 设计采样板的技术 ...

  4. 一步一步手绘Spring IOC运行时序图二(基于XML的IOC容器初始化)

    相关内容: 架构师系列内容:架构师学习笔记(持续更新) 一步一步手绘Spring IOC运行时序图一(Spring 核心容器 IOC初始化过程) 一步一步手绘Spring IOC运行时序图二(基于XM ...

  5. 数字正交下变频与数字滤波抽取的仿真与实验----基于高速ADC芯片

    本篇内容的姊妹篇为:[1]数字插值滤波与数字正交上变频的仿真与实验----基于高速DAC芯片 关于正交变频的框图可以参考:[2]通信应用中数字上变频DUC与数字下变频DDC详细原理(带图) 本文的高速 ...

  6. 基于FPGA的串行差分(LVDS)高速ADC接口

    低电压差分信号 - 维基百科 1.低电压差分信号(Low-Voltage Differential Signaling,LVDS)是一种电子信号系统,可满足现今对高性能数据传输英语的需求,同时系统供电 ...

  7. 基于 Kintex-7 FPGA + Nvidia TX2 = 16通道高速ADC数据采集系统

    在之前接触的设计中如果涉及要实现ADC采样的话,往往会从精度和速率来考虑对性能的影响,一般来说精度是固定的或有一个最大精度设置,但是采样速率的话,过快会造成采样不准确,往往会对整个设计的性能造成限制, ...

  8. 了解JESD204B规范的各层—— 从高速ADC的角度出发

    本文内容来自ADI的技术文章,作者:Jonathan Harris 原网址为:https://www.analog.com/cn/technical-articles/understanding-la ...

  9. FPGA高速ADC接口实战——250MSPS采样率ADC9481

    一.前言 最近忙于硕士毕业设计和论文,没有太多时间编写博客,现总结下之前在某个项目中用到的一个高速ADC接口设计部分.ADC这一器件经常用于无线通信.传感.测试测量等领域.目前数字系统对高速数据采集的 ...

最新文章

  1. RouterOs建立PPPoE虚拟拨号服务器
  2. 计算机5800怎么开机,神舟5800笔记本怎么进bios
  3. Java新手造假_老板居然让我在Java项目中“造假”
  4. Sentinel实现限流熔断及与Spring Cloud整合
  5. 前端处理后台返回的流数据
  6. 用于 Domino Web Access 的 Notes.ini 变量
  7. SVN:冲突解决 Conflict discovered in
  8. 跨域单点登录解决方案
  9. Java中进行Debug断点调试
  10. Zookeeper的Paxos分布式一致性算法-类比
  11. 使用Uber-go Zap日志库
  12. r4900g3系统安装linux_H3C UniServer R4900 G3
  13. Python爬取足球网站赛况
  14. linux LED设备驱动文件
  15. mac创建.开头的文件夹
  16. oracle数据库所在主机内存耗尽
  17. 绪论——信息理论学与量子信息学
  18. android 键盘偶现收起之后又弹出
  19. Protel 常用问题总结
  20. vue spa php,使用Laravel 和 Vue 构建一个简单的SPA

热门文章

  1. 从属性资源文件中读取连接数据库信息
  2. VMware15的虚拟机创建和安装CentOS6.5的详细教程
  3. 从云服务到行业云,看可信云如何搭建云计算的最全标准?
  4. 79、ClimateNeRF: Physically-based Neural Rendering for Extreme Climate Synthesis
  5. USB3.0、PCIe、PCI等各总线速度对比与介绍
  6. 王道2019年计算机考研专业课培训书籍
  7. java外文翻译范文_电子商务毕业论文外文翻译范文《JavaandtheInternet》
  8. WordPress七牛云对象存储免费插件WPQiNiu
  9. ar8171 linux网卡驱动,atheros 8175驱动
  10. frame中隐藏横向滚动条