EDA与VHDL题目——38译码器

代码

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;   --调用库函数ENTITY trans38 IS       --结构体
PORT(A,B,C:IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END trans38;
ARCHITECTURE dec_behave OF trans38 ISSIGNAL sel:STD_LOGIC_VECTOR(2 DOWNTO 0);   --定义3位的信号
BEGINsel<=A&B&C;     WITH sel SELECT   Y<= "11111110" WHEN "000","11111101" WHEN "001","11111011" WHEN "010","11110111" WHEN "011","11101111" WHEN "100","11011111" WHEN "101","10111111" WHEN "110","01111111" WHEN OTHERS;
END dec_behave;

解析

1

38译码器有3个输入,8个输出。如下图所示。我们要做的就是把三位的二进制数一一对应到八个输出数中。
这里使用with **** select语句,注意要点:语法的格式,每一句结束用逗号,结束时用分号。
最最最重要的是不要忘记 ***** when others;这个语句,看似不重要,你可以尝试运行的时候去掉他并观察一下报错结果,以防止遇到这种问题的不知道修改哪里。

2

本文所示的代码中定义了三位的信号,因为信号的功能,便于作为转接物,用于转接输入和输出的中介。
sel<=A&B&C,语句将三输入接入,根绝with *** select , 将转接输出到八位的Y中。

EDA与VHDL题目——38译码器相关推荐

  1. VHDL编写3-8译码器

    VHDL编写3-8译码器 3-8译码器是由3个输入端和8个输出端组成的译码器,实现3位二进制数转换成10进制的输出(用高低电平来表示输入输出)真值表如下: 本文用两种方法来实现译码器(case和wit ...

  2. 3-8 译码器设计实验--VHDL

    一.实验目的 (1)学习并掌握Quartus II的使用方法 (2)学习使用原理图方法进行逻辑设计输入,并初步了解可编程器件设计的全过程 (3)熟悉ALTERA公司EDA设计工具软件Quartusll ...

  3. 牛客刷题<19>使用3-8译码器实现逻辑函数

    题目:使用3-8译码器①实现逻辑函数_牛客题霸_牛客网 思路:此方法可适合于任何逻辑函数,需要将L=(~A)·C+A·B 逻辑式转换为最小项的形式. 实现逻辑表达式 38译码器的输出实际上包含了输入A ...

  4. Verilog快速入门(13)—— 用3-8译码器实现全减器

    Verilog快速入门 (1) 四选一多路器 (2)异步复位的串联T触发器 (3)奇偶校验 (4)移位运算与乘法 (5)位拆分与运算 (6)使用子模块实现三输入数的大小比较 (7)4位数值比较器电路 ...

  5. fpga组合逻辑(4位比较器、8-3优先编码器、38译码器实现全减器、数据选择器实现逻辑函数等)

    目录 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3 ...

  6. 记录使用Spartan-6 FPGA进行一次3-8译码器实验

    之前仔细的记录过一次PWM蜂鸣器的实验,见博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制,这里就不再仔细的写下全过程了,因为都是一样的过程,只记录一下做这个小实验的思路即可. 3-8译码器 ...

  7. 38译码器数码管c语言代码,38译码器驱动数码管电路图

    使用38译码器来驱动数码管来节省IO端口 (1)什么是38译码器? 38译码器有3个输入端口A.B.C和8个输出端口Y0-Y7.由输入端口控制输出端口的值 (2)为什么要使用38译码器 回想之前的驱动 ...

  8. 学习笔记——3-8译码器实例(FPGA)

    一.3-8译码器简介 1.简介 译码是编码的逆过程.其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器.如果有n个二进制选择线,则最多可译码转换成2n个数据. ...

  9. 51单片机交通灯(定时器+38译码器+中断)

    51单片机交通灯这个实例主要用到的知识点有: >如何点亮LED >38译码器位选与段选 >定时器控制 >中断控制 #include <reg52.h>/****** ...

  10. (147)Verilog编程:使用3-8译码器实现全减器

    (147)Verilog编程:使用3-8译码器实现全减器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog编程:使用3-8译码器实现全减器: 5)结束语. ...

最新文章

  1. Mac系统的JDK8默认安装路径
  2. python视频抽帧 后 前端javascript如何显示_python通过ffmgep从视频中抽帧的方法
  3. gc日志一般关注什么_记一次生产频繁出现 Full GC 的 GC日志图文详解
  4. keras 以图搜图
  5. Windows下挂载iscsi存储及多路径功能配置
  6. wxWidgets:wxLayoutAlgorithm类用法
  7. php 复制文件夹并压缩到最小_PHP压缩文件夹的方法
  8. django-模型类关系
  9. Python开发不可不知的虚拟环境
  10. 深入理解Java中间件Zookeeper
  11. HFSS - 双频微带天线设计与仿真
  12. ArcGIS重采样栅格后栅格数目居然不变(解决)
  13. 高速PCB设计规范(二)
  14. umts是移动还是联通_网络模式中的UMTS是什么意思?
  15. 电视剧《乡村爱情2》之演员表(ZT)
  16. JAVA 05 输入年份判断生肖
  17. Python程序员面试,这些问题你必须提前准备!
  18. qt 模拟鼠标滑轮_【游戏流体力学基础及Unity代码(四)】用欧拉方程模拟无粘性染料之公式推导...
  19. jsp页面读取txt乱码
  20. 百度地图只显示指定的行政区域地图

热门文章

  1. android 圆形进度条样式,Android编程之ProgressBar圆形进度条颜色设置方法
  2. h5 点击按钮生成图片分享微信朋友圈
  3. c语言数据结构实验约瑟夫(Josephu)问题
  4. 嵩天python测验_嵩天老师《Python语言程序设计》第2周测试题笔记
  5. laravel对象转数组
  6. 抽象 封装 继承 多态
  7. 顺序表 数据结构 顺序表基本操作 C语言实现 顺序表详解
  8. 2018中国旅游统计年鉴
  9. RS485_PTZ_云台控制
  10. 一张图,详解大数据技术架构