集成电路制造工艺及设备

第一章 绪论

  • 三大产业:设计、制造、封测

  • SiP:一次封装封一个系统,分立元件的集成

    SOC:片上系统,晶体管的互联

第二章 洁净技术

2.1 洁净技术的重要性

  • 颗粒尺寸不能超过器件最小特征尺寸的50%
  • IC制造过程中的污染源主要有:颗粒污染、薄膜污染、金属和离子污染

2.2 空气洁净技术

  • 空气洁净度标准——美国联邦标准209E

    级别按米制分为M1.5 M2.5 …M6.5

    按英制分为一级 十级 百级…

    其中,当标准为百级时,指每立方英尺内颗粒直径超过0.5μm\mu mμm的个数不超过100个。

    此外,当标准写为0.1(0.1μm\mu mμm)时,指每立方英尺内颗粒直径超过0.1μm\mu mμm的个数不超过0.1个。

  • PM2.5 大气中直径小于2.5μm\mu mμm的的颗粒物(毫克/立方米)

    美国PM2.5标准为35毫克/立方米;中国PM2.5标准为75毫克/立方米。

  • 洁净环境的主要指标有:洁净度、温度、湿度

    两间clean room间根据需要往往存在气压差,光刻等工艺对空气洁净度要求较高,使气流由光刻室流出,可减少颗粒流入。

  • 垂直单向流洁净室包括

    • 大开间洁净室(人、设备、硅片在同一洁净区)
    • 隧道洁净室(人和硅片在同一洁净区)
    • 微环境洁净室(人和硅片在同一洁净区)
    • 集成洁净室(只有硅片在高级别洁净区)

2.3 硅片清洗技术

  • 25°C环境下,水的电阻率最高为18.3MΩ\OmegaΩ⋅\cdot⋅cm

  • 传统化学湿法清洗(RCA清洗)

    原理:通过水溶性药物,结合物理或化学机理,对薄膜、有机物、无机物、金属、颗粒污染加以去除。

    标准RCA化学清洗:

    S1:H2SO4/H2O2H_2SO_4/H_2O_2H2​SO4​/H2​O2​ 去有机物,主要是光刻胶

    S2: H2O/HFH_2O/HFH2​O/HF 去氧化层

    S3: NH4OH/H2O2/H2ONH_4OH/H_2O_2/H_2ONH4​OH/H2​O2​/H2​O 去有机物、金属和颗粒

    S4: HCL/H2O2/H2OHCL/H_2O_2/H_2OHCL/H2​O2​/H2​O 去碱离子和金属

    湿法清洗存在化学药品纯度、废水和废气处理等问题

  • 干法清洗

    常把硅片放在反应腔里,通入HF,HCL,O2,O3HF,HCL,O_2,O_3HF,HCL,O2​,O3​等气体,同硅片表面反应去除有机物、金属杂质等,如用氧等离子体去除光刻胶,利用氩气等离子体轰击硅片表面去除通孔内氧化层和有机聚合物。(这也是反溅的原理)

2.4 工艺制造过程中的污染控制

  • 硅片经HF腐蚀和超纯水清洗后会产生自然氧化层。所以水中溶解氧对硅片有害,会影响欧姆接触

    溅射工艺中的溅射和反溅

    溅射:通过带正电氩气等离子体轰击阴极AL靶,使AL溅落到阳极硅片上

    反溅:通过带正电氩气等离子体轰击阴极硅片,去除硅片表面的自然氧化层、光刻胶底膜以及其他沾污

  • 干氧氧化系统

    通入氧气是为进行氧化反应;而通入氮气是在不做工艺时保护石英反应炉,使内气压大于外气压,污染气体无法进入反应炉。

  • 水汽氯化氢氧化

    MOS电路制备过程中,Na离子沾污严重影响器件性能,采用掺氯的氧化工艺能减少Na离子沾污,在硅-二氧化硅界面形成氯-硅-氧复合结构。

  • 离子注入工艺中避免中性束粒子影响

  • 吸杂技术

    吸杂工艺就是将硅片内某些金属离子捕获,分为三步:

    1.让离子成为自由离子

    2.让离子移动到不获取

    3.将离子固定在捕获区的陷阱里

  • 硅片内洁净区的形成

    MEMC公司(美国做硅片的公司)控制硅片内空位的分布使氧沉淀分层,表面形成洁净区,硅片中间形成高密度的体微缺陷区(BMD),两者距离足够近,有足够的吸杂能力。此外,氢氦的注入也可以形成大量空洞结构,以获得吸杂能力。

第四章 氧化工艺

4.1 二氧化硅膜的性质和功能

  • 石英是排列有序的二氧化硅晶体,其特点是长程有序

    二氧化硅膜从整体上说长程无序,但短程有序

    在二氧化硅膜中,有的氧原子和两个硅原子键合,称为桥键氧;只有一个硅原子键和的氧原子称为非桥键氧。桥键氧越多,二氧化硅膜越质密。

    SiO2SiO_2SiO2​膜中绝大部分杂质是被电离的,且大多数以正离子的形式存在。

  • 网络形成剂网络调节剂

    掺入的电离杂质,按其在SiO2SiO_2SiO2​网络中的位置和作用,可分为网络形成剂和网络调节剂

    • 在硅-氧四面体中可以取代硅原子构成网络的称网络形成剂,又称替位式杂质。特点是离子半径与Si原子半径接近或更小,如硼、磷、锑等。这些离子会增加非桥键氧的浓度,使SiO2SiO_2SiO2​能在较低温度下流动(应用有硼硅玻璃BSG,磷硅玻璃PSG,硼磷硅玻璃BPSG),形成的悬空键也越多,在高温条件具有像液体一样的流动能力就越强。因此薄膜具有更好的填孔能力,并且能够提高整个硅片表面的平坦化

    • 在硅-氧四面体网络空隙中孔洞位置的那一类杂质,称为网络调节剂,又称间隙式杂质,特点是离子半径较大,有钠、钾、铅、钙等正离子。这些离子会使桥键氧变为非桥键氧。结构强度降低,熔点降低,且重金属离子在外电场和温度作用下很容易在结构疏松的SiO2SiO_2SiO2​中运动。

    • 注:离子注入后,少数离子替代硅原子,大多数位间隙式杂质。此时一定会有一步退火操作,让间隙杂质成为替位杂质,产生载流子

  • 二氧化硅的主要性质

  • 化学稳定性极高,只溶于氢氟酸(湿法腐蚀主要用HF)。六氟硅酸(H2SiF6H_2SiF_6H2​SiF6​)是可溶于水的络合物

  • 二氧化硅在IC制造中的主要功能

    • 高温下杂质扩散的掩蔽层

      SiO2SiO_2SiO2​厚度选择原则:当杂质在Si中扩散达到所需深度时,杂质没有扩穿起掩蔽作用的SiO2SiO_2SiO2​膜。

      真正的扩散:Si中杂质会向SiO2SiO_2SiO2​底部扩散

      扩散系数D和分凝系数m

      扩散系数描述杂质扩散运动快慢的物理量,与温度、杂质种类、衬底晶向(晶体材料各向异性,不同晶向原子密度不同)等因素有关。分凝系数m是指Si−SiO2Si-SiO_2Si−SiO2​界面的杂质浓度之比。
      m=NSiNSiO2m=\frac{N_{Si}}{N_{SiO_2}} m=NSiO2​​NSi​​
      最小SiO2SiO_2SiO2​膜厚度的近似计算:
      tOX=AtDSiO2t_{OX}=A\sqrt{tD_{SiO_2}} tOX​=AtDSiO2​​​
      注:DSiO2D_{SiO_2}DSiO2​​杂质在二氧化硅中的扩散系数,单位是cm2/scm^2/scm2/s A与二氧化硅表面杂质浓度、硅衬底表面浓度有关,通常取4.6

    • MOS IC中的绝缘材料

      IC制造中常用两种隔离方法:p-n结隔离和SiO2SiO_2SiO2​作为绝缘材料的介质隔离

      SiO2SiO_2SiO2​膜比p-n结隔离漏电流小,击穿电压高,隔离区与衬底寄生电容小。

    • SiO2SiO_2SiO2​可以做电容器的介质,可以做器件表面的钝化膜,可以做离子注入时硅表面的保护膜(所有离子注入都不是在裸硅片下完成的)。

4.2 热氧化原理和方法

4.2.1 干氧氧化

  • 由于Si在SiO2SiO_2SiO2​中扩散系数比O2O_2O2​在SiO2SiO_2SiO2​的扩散系数小几个数量级,因此氧化反应在Si−SiO2Si-SiO_2Si−SiO2​界面发生。即消耗原有衬底。

  • 迪尔和格罗夫氧化模型

    • 远离硅片处氧气浓度满足理想气体定律
      Cg=n/V=Pg/kTC_g=n/V=P_g/{kT} Cg​=n/V=Pg​/kT
      硅片表面氧气浓度满足亨利定律(固体表面吸附元素的浓度和固体表面外气体中该元素的分气压成正比,H为亨利气体常数)
      Co=HkTCsC_o=HkTC_s Co​=HkTCs​
      第一个氧气流量J1=hg(Cg−Cs),hgJ_1=h_g(C_g-C_s),h_gJ1​=hg​(Cg​−Cs​),hg​为质量输运系数

      第二个氧气流量J2=DO2CO−CitOX,DO2J_2=D_{O_2}\frac{C_O-C_i}{t_{OX}},D_{O_2}J2​=DO2​​tOX​CO​−Ci​​,DO2​​表示氧在二氧化硅中的扩散系数

      第三个氧气流量J3=ksCi,k3J_3=k_sC_i,k_3J3​=ks​Ci​,k3​表示化学反应速率常数,第三个氧气流量是氧与硅反应的氧流量,由于硅表面硅含量丰富,反应速率与氧气浓度成正比。

4.2.2 湿氧氧化

  • 虽然干氧氧化质量很好,但由于氧化速率很小,很多工艺使用湿氧氧化。反应同样在Si−SiO2Si-SiO_2Si−SiO2​界面发生。

  • 湿氧氧化的水来自氢氧合成,理论上讲H2H_2H2​和O2O_2O2​比例是2:1,为使得氢气充分燃烧,氧气浓度需要高一些,会存在氧富裕,所以湿氧过程中有少量的干氧。

  • 湿氧干氧氧化膜对比

    干氧氧化膜致密,与光刻胶接触良好,掩蔽能力强

    湿氧氧化膜疏松,表面有硅烷醇导致与光刻胶接触不良,易浮胶,湿氧氧化后的硅片表面有较多位错和腐蚀坑,对杂质掩蔽能力差

  • 场区氧化厚度可达几千埃,必须用湿氧,要提高场区开启电压,场区氧化层厚度要大,防止场区形成寄生MOS管使其他管短路。

  • 实际工艺中,对于氧化膜厚度需要几千埃以上的情况,一般采用干氧-湿氧-干氧的方式,第一个干氧用于改善SiO2−SiSiO_2-SiSiO2​−Si表面质量,第二个干氧用于改善光刻胶粘附能力。

4.3 氮氧化硅膜的制备

  • 早期栅氧化层采用二氧化硅,随着层厚不断减薄,开启电压降低,但栅漏电流问题严重,进入.18微米后开始使用氮氧化硅代替二氧化硅作为栅氧化层介质,直到45nm后,绝缘层采用高k介质。

  • 氮氧化硅做栅绝缘层的优点

    高介电常数,漏电流小;氮元素可以阻挡多晶硅栅中硼元素穿过氧化层进入沟道导致开启电压发生改变

  • 氮氧化硅的制备

    早期使用高温下对SiO2SiO_2SiO2​氮化处理,缺点是氮的含量少,对硼的阻挡作用有限。

    现在用氮气等离子体,通过表面势扩散到超薄SiO2SiO_2SiO2​表面,取代硅氧键中氧的位置,经过退火形成稳定的硅氮键。

第五章 薄膜工艺

  • 物理工艺:蒸发、溅射、旋涂

  • 化学工艺:CVD、电镀和化学镀

  • 理想的薄膜淀积

5.1 物理气相沉积(PVD)

5.1.1 蒸发

  • 早期半导体工艺的金属层全由蒸发方式沉积

  • 除此以外,蒸发方式还包括:

    • 电阻加热方式

    • 感应加热方式

    • 电子束蒸发方式:

      不存在沾污问题,但缺点在于对MOS管有辐射

    • 合金薄膜蒸发:

      有些材料,如Al和Cu,可以将它们混合在一个坩埚里进行蒸发(不能将Ti和W混合在一起通过蒸发得到TiW薄膜,W需要的蒸汽压太高,蒸发出来的几乎全是Ti,这类情况必须用溅射)

5.1.2 溅射

蒸发工艺的缺点:

​ 蒸发的台阶覆盖性差,常在垂直的壁上断开(蒸发有方向性)

溅射优点:
台阶覆盖性好;辐射小于电子束蒸发;可以淀积难熔金属;薄膜均匀性好

  • 简单的平行板直流溅射系统

    氩离子轰击靶材料(阴极),使材料以原子、分子或微小颗粒的状态淀积到硅片上

  • 等离子体工艺

    真空中,两个电机间加直流高压或射频高压,会形成等离子体。等离子体中有三种粒子:电子、离子和中性原子或分子。这些粒子发生相互碰撞,其中三种非弹性碰撞最重要:离子化碰撞、分解碰撞、激发-松弛碰撞

    • 离子化碰撞

      当电子与原子或分子碰撞时,如果轨道电子获得的能量足以脱离核的束缚,就会变成自

      由电子,原子或分子变成带正电荷的离子
      e−+A→A++2e−e^-+A\rightarrow A^++2e^- e−+A→A++2e−

    • 分解碰撞

      电子和分子碰撞,打破化学键产生自由基
      e−+AB→A+B+e−e−+CF4→CF3+F+e−\begin{array}{c} e^{-}+A B \rightarrow A+B+e^{-} \\ e^{-}+C F_{4} \rightarrow C F_{3}+F+e^{-} \end{array} e−+AB→A+B+e−e−+CF4​→CF3​+F+e−​

    • 激发-松弛碰撞

      电子和原子、分子碰撞,原子或分子内电子激发到高轨道,并最终落回低轨道,以光子形式将电子碰撞过程中获得的多余能量释放,即放光。
      e−+A→A∗+e−A∗→A+hνe^-+A\rightarrow A^*+e^-\\ A^*\rightarrow A+h\nu e−+A→A∗+e−A∗→A+hν

  • 溅射原理

    Ar离子对阴极靶轰击,使靶材料以原子、分子或小微粒的形式从表面溢出。轰击靶的粒子除带正电的Ar离子,也可能是Ar的原子或分子。

  • 直流溅射、射频溅射与磁控溅射系统

    • 直流溅射只能溅射各种金属薄膜,若溅射绝缘材料,由于靶不导电,不能使用直流溅射(轰击靶面的正离子会在靶面上累积,使其带正电,靶电位从而上升,使得电极间的电场逐渐变小,直至辉光放电熄灭和溅射停止),需采用射频溅射。

    • 射频溅射时,RF加在靶电极的背面并通过电容耦合到前面,所以靶材可以是绝缘体,由于射频在靶材上产生自偏压效应(因为离子比电子质量大,迁移率小,不像电子很快向靶表面集中,所以靶表面电位有自偏压),靶材自动处于一个负电位,带正电的氩离子轰击靶材,产生溅射。

    • 磁控溅射系统

      在射频溅射系统中加磁场,使电子做螺旋运动,可增加它们与中性原子(用于产生等离子体Ar离子)碰撞产生离子的概率,也就增加了靶的离子轰击率以及系统的沉积速率。(本身电子第一次与氩原子碰撞后会产生新的电子和氩离子,若不加磁场,新的电子会飞向基片,而加上磁场后会使新的电子与氩原子二次碰撞甚至三次碰撞等)

  • 反溅工艺

    正常溅射时,载片台接正极,靶材接阴极

    为在溅射前去除硅圆片表面的自然氧化层、光刻胶底膜和其他沾污,可以将载片台和靶材颠倒,让氩离子直接轰击硅片,这就是反溅工艺,也称溅射刻蚀。反溅几分钟后再颠倒极性,进行正常溅射。

  • 溅射工艺总结

    • 溅射比蒸发质量好
    • 衬底加热比不加热的台阶覆盖性好
    • 射频溅射比直流溅射好
    • 磁控射频溅射比单纯的射频溅射产额高,磁控溅射系统常用于溅射难熔金属和非金属材料,如W、TiW、SiO2

5.2 化学气相沉积(CVD)

  • CVD技术指一种或数种物质的气体,以某种方式激活后,在衬底表面发生化学反应并淀积出所需的固体薄膜的生长技术。(即薄膜不是气体与衬底发生反应生成的)

  • CVD优点

    • 淀积温度低
    • 薄膜成分稳定易控
    • 薄膜厚度与淀积时间成正比
    • 台阶覆盖好

5.2.1 APCVD-常压化学气相沉积

主要用于淀积SiO2SiO_2SiO2​
SiH4+2O2=SiO2+2H2OSiH_4+2O_2= SiO_2+2H_2O SiH4​+2O2​=SiO2​+2H2​O

N2N_2N2​作用:隔离工艺气体,保护反应腔(同干氧氧化)

优点:结构简单,生长率高,可生长掺杂二氧化硅

缺点:必须有强排风装置,喷嘴要经常清扫

5.2.2 LPCVD-低压化学气相沉积

  • LPCVD淀积二氧化硅

    常用硅烷与氧反应,也可采用TEOS热分解或同臭氧反应的方式。前者反应温度在800度左右,后者反应温度在500度。
    SiH4+2O2=SiO2+2H2OSi(OC2H5)4+(O3)→SiO2+副产物SiH_4+2O_2= SiO_2+2H_2O\\ Si(OC_2H_5)_4+(O_3)\rightarrow SiO_2+副产物 SiH4​+2O2​=SiO2​+2H2​OSi(OC2​H5​)4​+(O3​)→SiO2​+副产物

  • LPCVD淀积多晶硅薄膜

    多晶硅用于MOS中硅栅工艺,代替铝栅以实现栅和源漏间的自对准,减小栅与源漏之间的电容。

    多晶硅也被用于浅结器件的欧姆接触材料,加在铝与硅衬底之间防止铝对浅结的穿透。

    多晶硅栅自对准原因:先做二氧化硅,再做多晶硅,最后离子注入杂质(不用热扩散是为了各向异性)

    常采用热分解硅烷的方式淀积多晶硅:SiH4→Si+2H2SiH_4\rightarrow Si+2H_2SiH4​→Si+2H2​(注意反应温度,温度过低会产生非晶硅)

  • LPCVD淀积Si3N4Si_3N_4Si3​N4​薄膜

    氮化硅在器件制造中可用于

    • 铝布线的钝化膜

    • 场氧化区的扩散掩膜

    • 硅湿法腐蚀的掩蔽膜

    • 绝缘介质膜

    • 杂质或缺陷的萃取膜

    • 举例(硅圆片的局部氧化):

      SiO2SiO_2SiO2​起消除应力,并在离子注入过程中保护晶格的作用。

      场区氧化层需要注入硼,防止衬底杂质扩散至氧化区,产生寄生MOS导致目标MOS电容开启电压下降。

    • 注:Si3N4/SiSi_3N_4/SiSi3​N4​/Si结构有最好的阻钠能力,但由于界面存在极大的应力与极高的界面态密度,所以工艺上都采用Si3N4/SiO2/SiSi_3N_4/SiO_2/SiSi3​N4​/SiO2​/Si结构(用二氧化硅消除应力)。

5.2.3 PECVD-等离子体增强化学气相沉积

​ LPCVD有一个缺点,淀积时的温度比较高,一般需要600-700度,对于多层布线间的绝缘介质或最后的钝化保护层,由于已有不耐高温的铝,所以不能采用LPCVD的方式,PECVD的工作温度只有200-300度,很适合有铝布线后的工艺。

  • 高密度等离子体淀积(HDPCVD)

    为了在低衬底温度下淀积高质量的薄膜,引入HDPCVD,具体有电子回旋共振(ECR: Electron Cyclotron Resonance)PECVD系统。这种系统有较高的反应率,没必要用高的衬底温度来驱动反应。

    HDPCVD缺点在于台阶覆盖性差(低压强导致长的平均自由程,导致台阶覆盖性差),容易形成空洞,可以采用同步淀积与刻蚀工艺,典型比例是D:E=3:1(边长边磨,bottom-up方式)。

5.2.4 不同CVD工艺对比

5.2.5 原子层淀积(ALD)(均匀性最好)

  • 原理:一种气体先进入反应腔,再用氮气赶一下反应腔后通入另一种气体,生成反应物薄膜后再用氮气对反应腔进行清洗并用真空泵抽走。

5.4 IC金属布线(5.3外延没讲)

5.4.1 铝布线

  • 铝布线优点:

    • 与硅、多晶硅形成欧姆接触
    • 与SiO2SiO_2SiO2​有良好的附着性
    • 易于键和、台阶覆盖性好
  • 铝布线缺点:

    • 大电流密度下形成金属离子电迁移现象,导致电极短路(解决办法:掺铜)
    • 铝硅之间形成“铝钉”,对于浅结工艺易导致PN结短路(解决办法:掺硅)
    • 掺铜硅的坏处:刻蚀不干净会留下残留物
  • 电迁移现象及解决办法

    • 大电流密度下的质量输运,迁移方向与电子流方向一致,金属离子在阳极堆积,阴极形成空洞。
    • 解决办法:
      • 增大晶粒尺寸,淀积时增大衬底温度
      • 在铝中掺铜
  • 铝钉现象及解决办法

    • 铝在硅中溶解率低,硅在铝中溶解度高,退火时,硅原子会溶到铝中,由于硅在铝膜中快速扩散,铝就会像接触孔内运动,使PN结短路失效。
    • 解决办法:
      • 掺硅(先把铝喂饱)
      • 在铝和硅之间淀积一层阻挡层金属,如TiW/TiN
      • 深亚微米器件,在接触孔里制作钨塞
      • 用铜布线代替铝布线

5.4.2 铜布线

  • 铜布线优点:用铜代替铝布线,引线电阻降低,若进一步降低绝缘层介电常数k,则引线的RC延迟时间将进一步减少。

  • 铜布线缺点:铜是快扩散金属,扩散到硅中会引入深陷阱能级,导致少子减少,漏电流增大,器件失效;此外铜对二氧化硅等介质粘附性差,易脱落

  • 铜布线技术难点:

    • 不能像铝布线那样采用溅射-光刻-干法刻蚀
    • 铜在硅中溶解扩散均较快,在较低温度下快速扩散,导致铜离子沾污
  • 大马士革工艺

    镶嵌工艺结合CMP(化学机械抛光)工艺

5.5 电镀

  • 电镀就是利用电解原理在某些金属表面上镀上一薄层其它金属或合金的过程。(硅片在阴极,阳极产生阳离子移向阴极)

第六章 刻蚀工艺

6.1 刻蚀工艺简介

  • 刻蚀就是利用光刻胶或其它材料作掩蔽层,对没有保护的区域进行腐蚀,最终实现掩膜版图形变成硅片上图形的图形转移。

    RL,RVR_L,R_VRL​,RV​分别代表横向和纵向刻蚀率,如果A=0,说明横向和纵向刻蚀速率相同,完全各向同性;如果A=1,则是理想情况下的各项异性。

6.2 湿法刻蚀

  • 湿法刻蚀是一个纯粹的化学反应,其优点有:选择比高,不损伤衬底。缺点是:产生颗粒沾污,缺乏各向异性。

  • 湿法刻蚀三个步骤:

    • 刻蚀剂移动到硅片表面
    • 刻蚀剂铜表面的膜发生化学反应生成可溶解的副产物
    • 从硅片表面移去反应生成物
  • 几种常用材料的湿法腐蚀

    • Si 用HNO3,HFHNO_3,HFHNO3​,HF腐蚀
    • SiO2SiO_2SiO2​用HF腐蚀(HF腐蚀太快,会用NH4FNH_4FNH4​F做缓冲液)
    • Si3N4Si_3N_4Si3​N4​用高温下磷酸腐蚀(采用二氧化硅做掩膜保护光刻胶)
    • Al用磷酸腐蚀
    • Ti和TiN用氨水、过氧化氢腐蚀
    • 光刻胶用硫酸腐蚀(此时硅片上不能有金属)

6.3 干法刻蚀

  • 干法刻蚀最大优点是各向异性

  • 物理方法干法刻蚀(离子刻蚀 IE Ion Etching)的原理

    带正电的氩离子在电场加速下,轰击被刻蚀物的表面,将被刻蚀物材料的原子击出。整个过程都是物理上的能量转移。

    物理方法刻蚀的方向性很好,但由于离子是全面均匀地轰击硅片,刻蚀选择比偏低(选择比就是一种材料相对于另一种材料刻蚀速率快慢),而且被击出地物质往往会沉积在薄膜表面和侧壁,故完全物理方法地干法刻蚀较少使用在VLSI中。

  • 化学方法干法刻蚀(等离子体刻蚀 PE Plasma Etching)的原理

    低压刻蚀气体在电场加速下辉光放电生成带电离子、分子、电子和化学活性很强的自由基,自由基扩散到被刻蚀材料表面,与被刻蚀材料表面原子发生化学反应,形成挥发性的反应产物被真空泵抽走。

    化学方法干法刻蚀选择性强,但各向异性差,一般用于去除光刻胶,不能用于刻细线条。

  • 物理和化学相结合的干法刻蚀(反应离子刻蚀 RIE Reactive Ion Etching

    结合了物理性的粒子轰击和化学反应,具有各向异性和高刻蚀选择比的双重优点。

    特点:

    • 利用化学反应获得高选择比
    • 利用离子轰击,加快反应速率,击出淀积于刻蚀表面的反应产物
    • 侧壁未受离子轰击,淀积产物得到保留,获得各向异性刻蚀。
  • RIE刻蚀步骤

    • 刻蚀气体导入腔体,利用射频产生等离子体,部分刻蚀剂分解产生自由基
    • 自由基扩散并吸附在硅片表面
    • 在离子轰击下,自由基与硅发生反应
    • 反应产物被抽气泵抽走
  • 刻蚀剂种类:包括中性类刻蚀剂和离子类刻蚀剂。前者入射角范围宽,后者入射角窄且垂直

  • 刻蚀过程中产生阻蚀剂,干法刻蚀过程中产生聚合物,淀积在硅片表面阻碍刻蚀。也会淀积在工艺腔内部,所以要定期清洗工艺腔。

    合理利用阻蚀剂可以得到所需的侧壁外貌

    • 阻蚀剂淀积比刻蚀速率快(形成缓坡)

    • 阻蚀剂淀积比刻蚀速率慢(形成陡坡)

  • 高密度等离子体刻蚀(HDP High Density Plasma)

    在溅射工艺中采用HDP可以提高溅射速率,在刻蚀系统中采用HDP可以提高刻蚀速率。两者在结构上的区别在于前者使用同一个电源来产生高密度等离子体,后者实在硅片上外加一个电源来可控制硅片上的离子轰击。

    HDP优点:

    • 反应室内气压低,且没有降低刻蚀速率,各向异性好
    • 不用提高离子能量来提高刻蚀速率,不会增加对硅片的损伤

    两种HDP系统:

    • 电子回旋加速器(ECR Electron Cyclotron Resonance)
    • 电感耦合等离子体(ICP Inductively Coupled Plasma)使用更广泛,常用于深硅刻蚀

  • Bosch工艺进行刻蚀硅深空(DRIE)

    刻蚀-钝化交替进行,且淀积速率<刻蚀速率(D<E)

    最终效果如图

  • IC中常用薄膜的等离子刻蚀气体

    单晶硅、多晶硅、二氧化硅、氮化硅都可用CF4CF_4CF4​刻蚀

    铝、钛、氮化钛用氯气刻蚀

    光刻胶用氧气刻蚀

  • 刻蚀工艺的质量监测

    由于干法刻蚀选择比不如湿法刻蚀,因此需要有终点监测系统以减少对下面材料的过度刻蚀

    由于等离子体发光颜色与强度与等离子体化学成分有关,因此可以利用光谱仪测定等离子体中某种元素的相对浓度,从而达到监控刻蚀过程的目的。

第七章 光刻工艺

7.1 图形转移技术与光刻胶

7.1.1 图形转移技术

  • Lithography 光刻,就是把掩模版上的图形转移到硅片的过程

  • 光刻与刻蚀的工艺流程

    IC技术水平常以器件的“特征尺寸”为标志,所谓特征尺寸就是IC能加工器件的最小尺寸,特征尺寸缩小的关键是光刻技术的不断进步,其中涉及到曝光设备、感光材料、刻蚀设备等各种工艺的综合。

    套刻精度:第二层掩膜套刻在第一层图形上

    光刻胶厚度越薄,分辨率越高,可用于做细线条;同时针孔越多

7.1.2 光刻胶的种类和特性

  • 光刻胶常含有四种成分:聚合物(作为图形转移的掩蔽层,承受刻蚀和注入过程)、感光剂(控制光化学反应)、溶剂、添加剂(染料,提高分辨率)

  • 光刻胶的种类:

    • 正胶:曝光部分溶解(显影后能保持线宽和形状,耐化学腐蚀,适合离子刻蚀)
    • 负胶:曝光部分保留(感光速度高,但线条会变形,存在针孔)
  • 留膜率:曝光显影后非溶性胶膜厚度(如正胶的未曝光部分)与曝光前胶膜厚度之比。要求光刻胶有较高的留膜率

7.2 光刻工艺

7.2.1 匀胶、前烘

  • 匀胶前的预处理:

    为提高光刻胶的附着性,常会有一道涂布增粘剂的工序,即“打底膜”(HMDS)

  • 匀胶:将光刻胶滴到硅圆片上后,让吸盘迅速升至2000~6000转/min

  • 硅片边缘去胶(EBR Edge Bead Removal)

    硅片边缘的光刻胶由于表面张力会形成圆珠型残留,以及硅片背面的光刻胶,如果不去掉会影响成品率。

  • 前烘(soft bake)

    目的是去除胶中几乎所有的溶剂,提高光刻胶与衬底的粘附力

7.2.2 曝光、后烘

​ 曝光就是将掩模版上的图形成像到硅片上。后烘的目的是通过加热,让光化学反应充分完成

  • 三种曝光方式

    • 接触式曝光

      掩模版与光刻胶直接接触,分辨率高但掩模版易损伤

    • 接近式曝光

      掩模版与光刻胶离开10~50微米,掩模版不易损伤但分辨率低

    • 投影式曝光(STEPPER)(分步重复光刻对准机)

      投影式曝光分1:1,4:1,5:1几种

  • 图像对准

    IC制造过程中有很多掩模版

    以反相器电路为例:

    • 第一块掩模版-漏源区
    • 第二块掩模版-栅区
    • 第三块掩模版-电极孔
    • 第四块掩模版-铝引线
    • 第五块掩模版-钝化层

    因此STEPPER重要指标就是套刻精度——最小尺寸的25%~30%左右

  • 接触式曝光机的十字对准法(暗版)

  • 正面图形对准

  • 背面图形对准

​ 有些工艺需要在没有对准记号的硅片背面进行加工,这就需要利用正面图像上的对准记号进行对准,对背面的光刻胶曝光

7.2.3 显影和坚膜

  • 显影是把曝光后的硅片用显影液进行处理,既不能显影不足,也不能显影过度

    坚膜(hard bake)硅片接触到水,如果后续工艺是湿法腐蚀很不利,所以需要烘干

7.3 影响光刻质量的因素

7.3.1 掩模版的缺陷

  • 如图形缺陷、线宽制造错误、外来颗粒沾污

7.3.2 硅片表面对光刻工艺的影响

  • 描述平面度的方法之一是用峰谷间距(PV)表示,即圆片表面最高点与最低点之间的高度差。为保证分辨率,曝光时必须保证衬底上各点都处于成像透镜的焦深范围之内
  • 影响PV的因素
    • 硅片制备的机械加工误差
    • 工艺过程中形成的台阶
    • 硅片与氧化膜由于热失配引起的形变

7.3.3(4) 驻波效应对光刻工艺的影响

  • 光垂直射向胶膜和衬底,如果胶下为铝膜,入射光会在铝与光刻胶的界面上反射,由于入射光与反射光是相干光,在界面反射时又存在180°的相移,光刻胶膜内就形成驻波。

    入射光与反射光干涉后形成波峰波谷,造成曝光过度或不足,光刻胶侧壁形成锯齿状。

    负胶的驻波效应比正胶严重,**光源中包含几种不同波长的紫外光或使入射光倾斜一定角度,或在硅片和光刻胶之间涂布抗反射层,**可以减小驻波效应

7.3.5 显影对光刻工艺的影响

  • 显影不足会留下底模
  • 溶解的胶没冲干净会造成沾污
  • 显影过度会对图形质量有不利影响

第八章 掩模版制造

8.1 掩模版介绍

​ 掩模版就是在玻璃板上先蒸发一层铬,再刻蚀线条图形

  • 不同曝光方式需要不同的掩模版
  • 亮版、暗版(图形透光,是亮版,看着黑;图形不透光,是暗版,看着亮)

8.2 掩模版上辅助图形

​ 掩膜上除了主要的器件版图外,周围有其它各种图形。

  • 光刻工艺的对准符号

    掩膜版上必须要有相应的对准标记,以便掩膜版对光刻机片台和对硅圆片进行精确定位和对准

    • 暗版的对准符号

    • 亮版的对准符号

    • 监控光刻和刻蚀的胖瘦符号

8.3 PCM测试图形

​ 各个代工厂都有各自监测工艺质量的方法,所以都会专门设计测试管芯(Process Control Monitor,PCM),做掩膜版时,把这些测试图形插在器件图形中间

8.4 掩模版制造

  • 电子束制版(能做很细的线宽,但是很慢)
  • 光学图形发生器制版(可得到不同大小和方位的图形)

8.5 OPC技术(光学临近效应修正)

​ 实际与愿望的背离

​ 随着图形细微化,更容易收到临近图形的影响,为此采取的修正方法就是OPC修正(Optical Proximity Correction)

8.6 移相技术

  • 当图形的尺寸小于曝光波长时,衍射现象会对图像边缘产生破坏

第九章 掺杂工艺

  • 掺杂工艺有高温扩散和离子注入两种方式

9.1 高温扩散

  • 两种扩散形式

    • 表面浓度始终保持不变,这种扩散是“恒定表面浓度扩散”,也叫“预淀积扩散”

    • 没有外来杂质补充,仅限于扩散前积累在硅片表面无限薄层内的杂质,称为“有限表面浓度扩散”,也叫“主扩散”,“推进扩散”

  • 恒定表面浓度扩散方程

    Q1=∫0∞C(x,t)dx=2πCSD1t1Q_{1}=\int_{0}^{\infty} C(x, t) d x=\frac{2}{\sqrt{\pi}} C_{S} \sqrt{D_{1} t_{1}} Q1​=∫0∞​C(x,t)dx=π​2​CS​D1​t1​​
    Q1Q_1Q1​:预淀积扩散杂质剂量,单位是个/cm2cm^2cm2

    CsC_sCs​:固定的表面浓度(常数),单位是个/cm2cm^2cm2

    D1D_1D1​:扩散系数,单位是cm2/scm^2/scm2/s

    t1t_1t1​:时间,单位是s

    说明:这里的扩散系数和时间的下标是为了和后面主扩散区分

    以Dt\sqrt{Dt}Dt​为参变量,几种特征扩散长度下预淀积扩散杂质浓度与深度的关系(深度为0处,表面浓度相等)

  • 有限表面浓度扩散方程

    表面浓度CsC_sCs​随时间而降低
    Cs=C(0,t)=Q2πD2t2C_s=C(0,t)=\frac{Q_2}{\sqrt{\pi D_2t_2}} Cs​=C(0,t)=πD2​t2​​Q2​​
    CsC_sCs​:固定的表面浓度,单位是个/cm2cm^2cm2

    Q2Q_2Q2​:扩散杂质剂量(常数),单位是个/cm2cm^2cm2

    D2D_2D2​:扩散系数,单位是cm2/scm^2/scm2/s

    t2t_2t2​:时间,单位是s

    以Dt\sqrt{Dt}Dt​为参变量,几种特征扩散长度下,推进扩散杂质浓度与深度的关系(深度为0处,表面浓度不等,特征扩散长度越大,表面浓度越小)

  • 两步扩散法

    恒定表面浓度扩散决定了硅片内总的杂质剂量Q1Q_1Q1​

    有限表面浓度扩散决定了杂质在硅片内的分布CsC_sCs​

    两步扩散的杂质分布
    C(x,t1,t2)=2πCSD1t1D2t2exp⁡(−x24D2t2)C\left(x, t_{1}, t_{2}\right)=\frac{2}{\pi} C_{S} \sqrt{\frac{D_{1} t_{1}}{D_{2} t_{2}}} \exp \left(\frac{-x^{2}}{4 D_{2} t_{2}}\right) C(x,t1​,t2​)=π2​CS​D2​t2​D1​t1​​​exp(4D2​t2​−x2​)
    xxx:距硅片表面的距离

    t1t2t_1 t_2t1​t2​:分别是预扩散和主扩散的时间

    D1D2D_1 D_2D1​D2​:分别是预扩散和主扩散的扩散系数

  • 由于离子注入技术的发展,大多数掺杂工艺不再使用扩散法,但需要重掺杂时仍采用扩散方式

  • 扩散方法

    扩散与氧化的设备统称为Furnace

    • 固态源扩散系统
    • 气态源扩散系统
    • 液态源扩散系统(自动化程度高,杂质量控制精准,能够高浓度掺杂)

9.2 离子注入

9.2.1 离子注入技术和设备

  • 离子注入与热扩散法的比较

    离子注入可以通过分别调节注入离子的能量、数量,精确地控制掺杂的深度和浓度,可以保证掺杂的精确性和重复性。离子注入是物理过程,可以注入各种元素。

    热扩散对于这两个量都不能独立控制,尤其是浅结器件。扩散法掺杂受到化学结合力、扩散系数及固溶度等限制。

    离子注入一般在室温或低温下进行,可减少高温对器件的不良影响

    热扩散时只能采用二氧化硅等少数耐高温的介质作为掩蔽层,而离子注入可以采用光刻胶作为掩蔽膜

  • 离子注入的应用

    • 调节硅中杂质的种类、浓度和深度
    • 利用离子注入产生的损伤,改变Si,SiO2,Si3N4Si,SiO_2,Si_3N_4Si,SiO2​,Si3​N4​的腐蚀速率进行微细加工
    • 高能量、大剂量的氧注入,制作SOI硅圆片(效果不好,晶圆键合效果好)
  • LDD(低掺杂漏极)

    当栅极宽度小于2μm\mu mμm时,源漏之间的偏压导致的电场垂直分量将使电子隧穿进入氧化层,这就是热电子效应,部分空穴会进入衬底。热电子效应会造成MOS晶体管的阈值电压、漏电流以及跨导发生漂移。

    LDD结构将漏区由两部分组成,一部分是重掺杂的N+N^+N+区,而在于沟道相邻处为低掺杂的N−N^-N−区。该结构的最大优点是可以将最大场强降低30%-40%。(漏极的空间电荷区展宽,VDSV_{DS}VDS​中一部分可以降落在轻掺杂的漏区上)

  • 离子注入机的主要部件

    • 离子源

    • 质量分析器(将特定元素分离出来)

      • 磁分析器
      • 正交电磁场分析器
    • 加速管(让离子获得能量)

    • 聚焦系统(减少离子在行进中的损失)

    • 扫描部件

      • 静电扫描(为避免扫描线重合,两个方向的扫描频率不能成整数倍)
      • 机械扫描(利于散热)
      • 混合扫描(一个方向静电,一个方向机械)
    • 积分仪

      测量注入的离子总数
      N=NSS=Qq=1q∫0tidtN=N_{S} S=\frac{Q}{q}=\frac{1}{q} \int_{0}^{t} i d t N=NS​S=qQ​=q1​∫0t​idt
      若注入束流是稳定电流I,则
      NSS=Itqt=qNSSIN_SS=\frac{It}{q}\\ t=\frac{qN_SS}{I} NS​S=qIt​t=IqNS​S​

9.2.2 注入离子的射程分布

  • 高能离子射入固体将和原子核和电子发生碰撞

    • 离子与核外电子碰撞,离子能量损失很小,均是小角度散射,多次散射后离子运动方向基本不变
    • 离子与原子核相碰,由于质量在同一量级,一次碰撞会损失较多能量并发生大角度散射,使靶原子核离开原来的晶格位置。造成晶体损伤,当剂量很高时,甚至可以使单晶硅严重损伤以至变成无定形硅
  • 离子注入后的杂质分布LSS理论,大量离子碰撞后在靶中某一点停下来

    LSS理论研究的对象是非晶靶,对于单晶硅材料,如果注入时偏离晶体的主轴,可以把它假设为非晶靶。

    • 射程与投影射程

    • 平均投影射程RPR_PRP​

      大量入射离子投影射程的统计平均值称作平均投影射程

    • 标准偏差ΔRP\Delta R_PΔRP​

      各个入射离子的投影射程xpx_pxp​分布在平均投影射程RPR_PRP​周围,用标准偏差ΔRP\Delta R_PΔRP​表示xpx_pxp​的分散情况。
      ΔRP=[(xp−Rp)2‾]12\Delta R_P=[\overline{(x_p-R_p)^2}]^\frac{1}{2} ΔRP​=[(xp​−Rp​)2​]21​

  • 杂质浓度分布

    根据LSS理论,离子注入非晶靶后杂质浓度满足高斯分布
    N(xp)=Nmax⁡exp⁡[−(xp−Rp)22(ΔRp)2]N\left(x_{p}\right)=N_{\max } \exp \left[-\frac{\left(x_{p}-R_{p}\right)^{2}}{2\left(\Delta R_{p}\right)^{2}}\right] N(xp​)=Nmax​exp[−2(ΔRp​)2(xp​−Rp​)2​]
    NmaxN_{max}Nmax​:峰值浓度

    N(xp)N(x_p)N(xp​):表示距靶表面深度为xpx_pxp​处的注入离子浓度

    如果将杂质浓度分布对xpx_pxp​积分,就得到硅片表面层中注入总离子数,即注入剂量Q,经简化,可以得到注入剂量、标准偏差和峰值浓度之间的近似关系:
    Nmax≈0.4NSΔRPN_{max}\approx\frac{0.4N_S}{\Delta R_P} Nmax​≈ΔRP​0.4NS​​
    ΔRP\Delta R_PΔRP​:标准偏差

    NSN_SNS​:单位面积注入离子数(剂量),单位:个/cm2cm^2cm2

    NmaxN_{max}Nmax​:峰值浓度,单位:个/cm3cm^3cm3

    根据LSS理论,已经将各种离子在不同能量下注入到硅、二氧化硅等常用材料中的平均投影射程和标准偏差等数据做成数据库,需要时可以查表。

  • 双层靶注入

    LSS理论研究的是离子注入到单一材料的靶中,但高能离子注入会损伤硅片表面的结构,因此常常在离子注入前生长一层薄薄的SiO2SiO_2SiO2​。

    设双层靶分别由原子量为M1M_1M1​及M2M_2M2​两种材料组成,对应的平均投影射程分别是RP1,RP2R_{P1},R_{P2}RP1​,RP2​,标准偏差是ΔRP1,ΔRP2\Delta R_{P1},\Delta R_{P2}ΔRP1​,ΔRP2​,M1M_1M1​材料厚度为d,覆盖在M2M_2M2​上,则复合靶浓度分布为:

    离子浓度在M1,M2M_1,M_2M1​,M2​界面不连续,峰值浓度在M2M_2M2​中

    峰值浓度据复合靶的距离为:
    RP2′=(1−dRP1)RP2+dR_{P2}^{'}=(1-\frac{d}{R_{P1}})R_{P2}+d RP2′​=(1−RP1​d​)RP2​+d

9.2.3 离子注入的损伤与退火

  • 注入离子的激活

    离子注入后,大部分离子在晶体中是间位杂质,结合自由电子后成为中性原子,不能起到导电作用,只有其成为替位杂质,才能提供导电性能。因此需要电激活。

  • 减少离子注入损伤

    • 让离子穿过一层薄氧化层后再注入到硅片中
    • 热退火可以消除离子注入造成的损伤
  • 由于高温会对杂质分布产生影响,浅结工艺一般采用快速热退火

9.2.4 离子注入的沟道效应

  • 单晶靶中原子周期排列成点阵,因此靶对入射离子的阻止作用不再是各向同性,而与靶晶体取向有关。当离子沿一些特殊方向进入晶体时,轨道不再是无规则的,而是在轨道中前进,称为“轨道运动”,当离子沿沟道前进时,来自靶原子的阻止作用要小得多,因此射程要大得多,这种现象称作“沟道效应
  • 为避免沟道效应,IC生产中离子注入机会让离子注入方向同硅片的法线方向偏离7°左右。

9.2.5 掺杂杂质的测量和分析

  • 测量杂质浓度常用方法是四探针法测量薄膜电阻、霍尔效应测量总的载流子浓度

  • 薄层电阻(方块电阻)

    其中,L,W,tL,W,tL,W,t分别是薄层电阻的长、宽、厚,ρ\rhoρ是电阻率,单位是Ω⋅cm\Omega \cdot cmΩ⋅cm。

    把ρ\rhoρ与ttt的比值定义为RSR_SRS​,就是薄层电阻(亦称方块电阻),单位为Ω/□\Omega/\BoxΩ/□

  • 四探针法测薄层电阻

    四探针可以排成不同形状,最常见的是排成一条直线。外面两根探针之间加电流,里面的两根探针之间测量电压。计算比值再乘上修正因子就可得到薄层电阻。

    几何修正因子与探针排列形状及探针间距与扩散区深度的比值有关。

  • 范德堡法测量薄层电阻

第十章 平坦化工艺

10.1 问题

  • 随着加工层数的增加,表面的台阶高差越来越大,淀积薄膜时若薄膜厚度小于线条高度,薄膜不连续;此外,高差太大使得光刻胶相差太大,图像聚焦不在一个焦平面内,光刻后的线条粗细不均。
  • 曝光时,焦距中心在光刻胶的中间位置才能达到最佳的光刻效果。

10.2 简单的平坦化工艺

  • 反刻法

    • 淀积SiO2SiO_2SiO2​
    • 涂光刻胶(不均匀涂布)
    • 干法刻蚀光刻胶(由于多晶硅表面光刻胶较薄,那里的光刻胶很快被刻掉而露出二氧化硅)
    • 同步刻蚀光刻胶和二氧化硅,直到大部分光刻胶都被去除。反刻只能实现局部平坦化,并不能使平面完全平坦。
  • 反刻法在硅通孔工艺中的应用

  • 反刻法工艺制作sidewall

    为防止大剂量的源漏注入过于接近沟道从而导致沟道过短甚至漏源联通,在CMOS多晶硅栅的两侧要形成侧墙。

    • 首先在薄膜区淀积一层二氧化硅
    • 反刻法,各向异性,刻掉垂直方向的二氧化硅,留下侧壁形成sidewall
  • BPSG回流法

    首先淀积BPSG,接着回流,使表面平坦化

  • SOG涂布法(Spin on Glass)

    把二氧化硅乳胶溶液用旋涂的方式涂布在硅片上实现平坦化

10.3 CMP工艺(化学机械抛光)

  • 主要用于铜布线、硅片的减薄工艺

    氮化钛用作阻挡层,钨作电极

10.4 LOCOS工艺

  • local oxidation of silicon 硅的局部氧化

  • 场区氧化层一般大于5000埃,为了使开启电压尽量大

  • 氧化——长氮化硅——光刻,腐蚀氮化硅——去胶清洗离子注入——场区氧化——去氮化硅、去薄氧化层

  • 降低鸟嘴效应(bird’s beak)

    在氮化硅下淀积一层多晶硅作为缓冲层,消耗掉横向扩散的氧

  • 氮化硅工艺中有一层薄氧化层——减少应力

  • 离子注入中有一层薄氧化层——减少晶格损伤

第12章 微细加工

12.1 微型结构和元件

  • 利用IC制造工艺,在硅衬底或其他材料上制作微型的结构和元件

12.2 微细加工工艺

12.2.1 体硅腐蚀技术

  • 单晶硅的各向同性腐蚀

    氢氟酸-硝酸溶液

  • 单晶硅的各向异性腐蚀

    氢氧化钾溶液,最终会形成漏斗结构

  • 硅的高浓度掺杂对腐蚀速率的影响

    高掺杂硼后硅的腐蚀速度几乎为0,而二氧化硅在氢氟酸中腐蚀速率很高,因此常用高浓度掺杂层作湿法腐蚀的掩蔽膜

12.2.2 LIGA技术

  • 在金属衬底上旋涂几百微米的PMMA层,用X射线曝光,显影后得到一级结构,接着在一级结构凹槽内电镀金属,去PMMA后得到全金属的二级结构,最后将聚合物注入二级结构进行模塑,取出金属模后得到聚合物形成的第三级结构。

12.2.3 多层光刻胶成像技术

  • 在高低不平的硅片表面制作细线条

  • 涂厚光刻胶——涂薄光刻胶——薄胶曝光显影——厚胶曝光显影、腐蚀薄膜

12.2.4 剥离技术(lift off)

  • 剥离技术是传统光刻技术的一种应用,与传统光刻的区别有:

    • 不需要腐蚀金属膜
    • 先旋涂光刻胶还是先淀积金属膜
  • 工艺流程为:

    匀胶、曝光、显影、坚膜——淀积金属层——去光刻胶

  • 多层光刻胶成像技术可实现光刻胶的T型剖面

第13章 封装技术

13.1 封装基础知识

  • 管芯+外壳——器件

    封装就是给管芯和PCB线路板之间提供信号互连、电源分配、机械支撑、芯片保护和导热通道

  • 金属、陶瓷封装属于气密封装;塑料、有机基板封装属于非气密封装

  • 根据器件在PCB上的安装方式区分

    • 通孔插装式(PTH:Pin through hole)

      元件引出端是插入式引线

    • 表面安装式(SMT:Surface mount technology)

      元件引出端是扁平引线、焊球、焊盘、凸点

  • 不同封装所占PCB面积

    • QFP 100% quad flat package
    • TAB 44% tape automated bonding 载带自动接技术
    • COB 25% chip on board
    • CSP 13% chip scale package
    • Flip chip 11% 倒装芯片

13.2 新型封装技术

  • BGA技术 ball grid array

    • BGA封装的芯片安装方式

      • 采用传统引线键和将芯片和基板连接

      • 采用C4技术和Flip chip技术将芯片安装在基板上

    • C4技术 可控熔塌芯片连接

    • C4和BGA的区别

  • CSP技术

    CSP大小比BGA小(芯片级封装)

  • WLP技术(圆片级封装)

    COB:没有外壳,直接用黑胶保护chip

    附加工艺包括:在硅片上制作焊球、金凸点,将其他器件键合到硅圆片上

  • 在硅圆片上制作焊料球

    • 电镀法
    • 植球法
    • 丝网印刷法(焊料回流成球)
  • TSV技术

    • TSV用于存储器叠装

    • TSV用于制作转接板

    本身芯片硅和BT基板膨胀系数不同,有应力会影响可靠性,所以需要TSV作为转接板

    Si片可以是裸片制作TSV转接板,也可做IC的硅片,实现3D封装

  • 3D技术

    PiP(堆叠封装)

    PoP(堆叠组装)

  • Fan out技术

bonding 载带自动接技术

  • COB 25% chip on board
  • CSP 13% chip scale package
  • Flip chip 11% 倒装芯片

13.2 新型封装技术

  • BGA技术 ball grid array

    • BGA封装的芯片安装方式

      • 采用传统引线键和将芯片和基板连接

      • 采用C4技术和Flip chip技术将芯片安装在基板上

    • C4技术 可控熔塌芯片连接

    • C4和BGA的区别

  • CSP技术

    CSP大小比BGA小(芯片级封装)

  • WLP技术(圆片级封装)

    COB:没有外壳,直接用黑胶保护chip

    附加工艺包括:在硅片上制作焊球、金凸点,将其他器件键合到硅圆片上

  • 在硅圆片上制作焊料球

    • 电镀法
    • 植球法
    • 丝网印刷法(焊料回流成球)
  • TSV技术

    • TSV用于存储器叠装

    • TSV用于制作转接板

    本身芯片硅和BT基板膨胀系数不同,有应力会影响可靠性,所以需要TSV作为转接板

    Si片可以是裸片制作TSV转接板,也可做IC的硅片,实现3D封装

  • 3D技术

    PiP(堆叠封装)

    PoP(堆叠组装)

  • Fan out技术

集成电路制造工艺及设备相关推荐

  1. platform框架--Linux MISC杂项框架--Linux INPUT子系统框架--串行集成电路总线I2C设备驱动框架--串行外设接口SPI 设备驱动框架---通用异步收发器UART驱动框架

    platform框架 input. pinctrl. gpio 子系统都是 Linux 内核针对某一类设备而创建的框架, input子系统是管理输入的子系统 pinctrl 子系统重点是设置 PIN( ...

  2. 电子信息工程考研:12大专业方向解读

    导读 模式识别与智能系统专业解读 通信与信息系统专业解读 电路与系统专业解读 信号与信息处理专业解读 电子与通信工程专业解读 电力电子与电力传动专业解读 光电信息工程专业解读 物理电子学专业解读 控制 ...

  3. 方寸知识篇 - 集成电路制造技术(二)- 光刻

    光刻是微电子工艺中最重要的单项工艺之一.用光刻图形来确定分立器件和集成电路中的各个区域,如注入区.接触窗口和压焊区等.由光刻工艺确定的光刻胶图形并不是最后器件的构成部分,仅是图形的印模,为了制备出实际 ...

  4. 国家官宣新职业之“集成电路工程技术人员”看看站在风口上都需要哪些必备素养

    以上是人力资源社会保障部办公厅.工业和信息化部办公厅.颁布的集成电路工程技术人员等7个国家职业 集成电路工程技术人员 国家职业技术技能标准 (2021 年版) 1 职业概况 1.1 职业名称 集成电路 ...

  5. 用linux集成电路版图设计,集成电路版图设计 [陆学斌 主编] 2012年版

    集成电路版图设计 作者:陆学斌 主编 出版时间:2012年版 内容简介 <集成电路版图设计>主要介绍集成电路版图设计,主要内容包括半导体器件和集成电路工艺的基本知识,集成电路常用器件的版图 ...

  6. 用linux集成电路版图设计,集成电路版图设计教程2012版本

    马上注册,结交更多好友,享用更多功能,让你轻松玩转社区. 您需要 登录 才可以下载或查看,没有帐号?注册 x 集成电路版图设计教程 平装 – 2012年5月22日 曾庆贵 (作者), 姜玉稀 (作者) ...

  7. 工艺仿真软件_中科院科研项目:算法与软件工程集成电路制造中的工艺仿真

    本文来自微信公众号--青人留学. 中科院科研项目:算法与软件工程集成电路制造中的工艺仿真​mp.weixin.qq.com 项目简介 集成电路制造过程是由一系列的工艺单元组成的,如:光刻.刻蚀.薄膜沉 ...

  8. 集成电路工艺基础介绍以及什么是Corner?

    1.什么是Corner? 芯片制造是一个物理过程,存在着工艺偏差(包括掺杂浓度.扩散深度.刻蚀程度等),导致不同批次之间,同一批次不同晶圆之间,同一晶圆不同芯片之间情况都是不相同的. 在一片wafer ...

  9. 美国芯片简史:军方大力扶持下的产物 但一度被日 韩超越

    来源:知乎专栏 腾讯科技近日发起系列策划,聚焦各个芯片大国的发展历程.第四期:<美国芯片简史>. 集成电路是电子信息产业的的基石,电子信息产业对国民经济与社会发展具有重大推动作用.从全球集 ...

  10. 人工智能时代最吃香的热门专业,男女都适合

    导读:人工智能时代是人类历史上最伟大的时代 ,是人类的社会生产力从原始社会.农业社会.工业社会的量变到人工智能的质变时代.人工智能时代也许是自然人类的最后一个社会形态,阿尔文 ·托夫勒预言这个时代不到 ...

最新文章

  1. seq2seq(1)- EncoderDecoder架构
  2. Atitti mybatis的单元测试attilax总结
  3. 从苹果供应链谈何为云计算的业务永续
  4. 苹果应用开发架构及项目结构
  5. PySide2 基础入门-创建实例窗口(详细解释)
  6. 面向对象-多态的实现
  7. 影响机器视觉检测系统不稳定性因素分析
  8. mysql的json使用
  9. unity IEnumerator 协程的理解
  10. python2.7怎么升级python3_python2.7升级至python3.6
  11. 【Python脚本进阶】2.4、conficker蠕虫(上):Metasploit攻击Windows SMB服务
  12. 区间最值操作与历史最值问题(二)
  13. word在线编辑。生成图片。截图。合图。
  14. Cocos Creator | 碰撞检测优化-四叉树
  15. 漂亮的HTML网站赞助打赏单页源码
  16. GBase 8c数据库登陆
  17. 利用Python的pynput库解放双手之控制键盘
  18. 2021-08-08 WPF控件专题 WrapPanel 控件详解
  19. 程序员常用的工具软件
  20. Elasticsearch实战——地理位置查询

热门文章

  1. 微信小程序简单论坛实现demo,供参考。
  2. 运维人员的三种层次:看看你是背锅侠,还是闪修侠?
  3. maven配置ojdbc14
  4. 拍照怎么搜题?(上)
  5. 三星手机官方固件下载
  6. Java飞机大战MVC版
  7. css 标签上 title 和 alt 的区别
  8. 进度计划表软件测试,如何控制项目进度?项目进度管理中项目计划进度表的制定...
  9. c语言科学计数法输出1_e10,北航13年机试--十进制数字的科学计数法表示的C语言实现...
  10. SVN分支管理以及跨分支打包