C语言实用数字图像处理.pdf6.34 MB05-11-13|19:30

FPGA实验报告-李炎东.doc633.66 kB16-01-14|10:28

中值滤波在红外成像引信中的应用及硬件实现.caj31.64 kB12-12-13|16:47

图像中值滤波硬件算法及其在FPGA中的实现.pdf344.77 kB05-11-13|21:14

图像灰度增强算法的研究.pdf1.79 MB05-11-13|20:53

图象中值滤波的硬件实现.caj301.56 kB12-12-13|16:22

基于FPGA的一种智能交通红绿灯设计.pdf2.18 MB27-10-13|18:59

基于FPGA的实时中值滤波器硬件实现.caj1.04 MB12-12-13|11:12

实时中值滤波器的实现.caj182.07 kB12-12-13|11:23

coregen_xil_5976_46.cgc2.02 kB10-12-13|10:27

coregen_xil_5976_46.cgp518.00 B10-12-13|10:27

0.00 B16-01-14|11:25

255.02 kB13-01-14|22:33

1.65 kB17-12-13|22:34

fifo1_isim_beh.exe88.00 kB26-12-13|18:58

fifo1_isim_beh1.wdb134.69 kB26-12-13|18:55

fifo1_stx_beh.prj135.00 B26-12-13|21:47

1.20 kB07-01-14|17:23

fifo2_text_beh.prj160.00 B07-01-14|17:31

fifo2_text_isim_beh.exe88.00 kB07-01-14|16:24

fifo2_text_stx_beh.prj140.00 B07-01-14|16:54

fifo_test.fdo0.00 B17-12-13|11:12

fifo_test.udo382.00 B17-12-13|11:01

1.66 kB07-01-14|10:32

fifo_test_isim_beh.exe88.00 kB07-01-14|10:44

fifo_test_isim_beh1.wdb545.16 kB07-01-14|10:45

fifo_test_stx_beh.prj139.00 B26-12-13|17:32

fifo_text.bmm0.00 B07-01-14|10:34

1.63 kB07-01-14|11:28

fifo_text_isim_beh.exe88.00 kB10-01-14|17:22

fifo_text_isim_beh1.wdb103.87 kB10-01-14|20:16

fifo_text_isim_beh2.wdb103.52 kB07-01-14|22:47

fifo_text_stx_beh.prj100.00 B07-01-14|10:55

fuse.log721.00 B16-01-14|11:25

image_rom.mif180.00 B10-12-13|16:45

.lso18.00 B15-01-14|20:52

blk_mem_gen_ds512.pdf3.55 MB15-01-14|20:53

coregen.cgc113.47 kB15-01-14|20:54

coregen.cgp248.00 B09-01-14|09:46

coregen.log1.57 kB15-01-14|22:50

coregen.rsp135.00 B15-01-14|20:39

fifo.asy870.00 B10-01-14|10:19

fifo.gise1.52 kB06-03-14|10:10

fifo.ncf0.00 B15-01-14|21:15

fifo.ngc100.30 kB10-01-14|10:21

fifo.sym2.33 kB10-01-14|10:21

12.90 kB10-01-14|10:21

fifo.veo3.02 kB10-01-14|10:21

9.48 kB10-01-14|10:21

fifo.vho3.57 kB10-01-14|10:21

fifo.xco6.50 kB07-01-14|16:04

fifo.xco.bak5.85 kB07-01-14|16:04

fifo.xise4.88 kB10-01-14|20:18

fifo1.asy781.00 B17-12-13|21:52

fifo1.gise1.52 kB17-12-13|22:03

fifo1.ncf0.00 B17-12-13|11:02

fifo1.ngc84.57 kB17-12-13|21:55

fifo1.sym2.12 kB17-12-13|21:55

12.86 kB17-12-13|21:55

fifo1.veo2.99 kB17-12-13|21:55

9.40 kB17-12-13|21:55

fifo1.vho3.52 kB17-12-13|21:55

fifo1.xco6.48 kB16-12-13|15:31

fifo1.xco.bak5.83 kB16-12-13|15:31

fifo1.xise39.28 kB17-12-13|21:56

256.00 B17-12-13|21:56

3.24 kB17-12-13|21:55

fifo2.asy871.00 B07-01-14|16:12

fifo2.gise1.52 kB15-01-14|21:13

fifo2.ncf0.00 B10-01-14|20:16

fifo2.ngc92.08 kB07-01-14|16:14

fifo2.sym2.33 kB07-01-14|16:13

12.90 kB07-01-14|16:13

fifo2.veo3.02 kB07-01-14|16:14

9.48 kB07-01-14|16:13

fifo2.vho3.57 kB07-01-14|16:14

fifo2.xco5.84 kB07-01-14|16:11

fifo2.xco.bak6.50 kB07-01-14|16:11

fifo2.xise4.89 kB07-01-14|16:23

256.00 B07-01-14|16:14

3.24 kB07-01-14|16:14

243.00 B10-01-14|10:22

fifo_generator_ug175.pdf38.02 kB10-01-14|10:21

3.23 kB10-01-14|10:21

19.52 kB08-01-14|20:48

ug388.pdf2.07 MB14-08-10|07:48

ug416.pdf7.00 MB18-08-10|10:40

7.25 kB10-12-13|15:04

6.04 kB10-12-13|15:04

mig.prj16.52 kB10-12-13|15:04

3.07 kB10-12-13|15:04

example_top.ucf26.09 kB10-12-13|15:04

icon_coregen.xco1.34 kB10-12-13|15:04

ila_coregen.xco3.77 kB10-12-13|15:04

3.84 kB10-12-13|15:04

1.21 kB10-12-13|15:04

28.00 B10-12-13|15:04

mem_interface_top.ut385.00 B10-12-13|15:04

6.46 kB10-12-13|15:04

7.76 kB10-12-13|15:04

7.16 kB10-12-13|15:04

vio_coregen.xco1.52 kB10-12-13|15:04

839.00 B18-08-10|16:04

22.61 kB13-08-10|23:35

45.25 kB25-07-10|11:47

9.62 kB10-06-10|02:10

10.88 kB31-07-10|11:17

8.52 kB10-06-10|02:10

7.91 kB13-08-10|23:38

7.87 kB10-06-10|02:10

8.08 kB10-06-10|02:10

8.88 kB29-07-10|00:46

5.33 kB14-06-10|15:20

8.99 kB14-06-10|15:20

7.10 kB10-06-10|02:10

21.78 kB13-08-10|23:35

29.78 kB29-06-10|08:00

49.47 kB13-08-10|23:35

4.22 kB10-06-10|02:10

4.20 kB10-06-10|02:10

4.24 kB10-06-10|02:10

4.18 kB10-06-10|02:10

4.25 kB10-06-10|02:10

15.47 kB14-06-10|15:20

5.86 kB10-06-10|02:10

6.23 kB10-06-10|02:10

6.30 kB10-06-10|02:10

6.85 kB10-06-10|02:10

5.99 kB10-06-10|02:10

3.98 kB10-06-10|02:10

5.89 kB10-06-10|02:10

35.23 kB10-06-10|02:10

64.98 kB13-08-10|23:35

168.08 kB10-12-13|15:04

10.16 kB06-08-10|02:23

11.16 kB25-06-10|21:20

15.06 kB25-06-10|21:20

255.96 kB30-07-10|19:56

55.77 kB29-07-10|19:51

111.08 kB16-08-10|02:20

67.67 kB18-08-10|15:39

6.75 kB29-06-10|21:18

30.43 kB29-06-10|21:18

9.94 kB29-06-10|21:18

4.50 kB29-06-10|21:18

22.98 kB29-06-10|21:18

16.98 kB29-06-10|21:18

25.52 kB16-08-10|01:40

10.76 kB29-06-10|21:18

15.43 kB29-06-10|21:18

7.93 kB29-06-10|21:18

27.10 kB29-06-10|21:18

4.62 kB29-06-10|21:18

119.42 kB29-06-10|21:18

5.62 kB29-06-10|21:18

11.02 kB29-06-10|21:18

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

ddr_model_parameters_c1.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c3.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c4.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c5.vh31.38 kB10-12-13|15:04

image_ram.prj3.28 kB10-12-13|15:04

3.23 kB10-12-13|15:04

3.15 kB10-12-13|15:04

6.89 kB10-12-13|15:04

sim.do5.73 kB10-12-13|15:04

30.59 kB10-12-13|15:04

example_top.lso6.00 B10-12-13|15:04

example_top.prj2.63 kB10-12-13|15:04

mem_interface_top_synp.sdc5.19 kB10-12-13|15:04

3.90 kB10-12-13|15:04

7.25 kB10-12-13|15:04

5.93 kB10-12-13|15:04

mig.prj16.52 kB10-12-13|15:04

3.07 kB10-12-13|15:04

icon_coregen.xco1.34 kB10-12-13|15:04

ila_coregen.xco3.77 kB10-12-13|15:04

image_ram.ucf25.65 kB10-12-13|15:04

3.82 kB10-12-13|15:04

1.20 kB10-12-13|15:04

28.00 B10-12-13|15:04

mem_interface_top.ut385.00 B10-12-13|15:04

6.44 kB10-12-13|15:04

7.61 kB10-12-13|15:04

6.46 kB10-12-13|15:04

vio_coregen.xco1.52 kB10-12-13|15:04

22.61 kB13-08-10|23:35

45.25 kB25-07-10|11:47

9.62 kB10-06-10|02:10

10.88 kB31-07-10|11:17

8.52 kB10-06-10|02:10

7.91 kB13-08-10|23:38

7.87 kB10-06-10|02:10

8.08 kB10-06-10|02:10

8.88 kB29-07-10|00:46

5.33 kB14-06-10|15:20

8.99 kB14-06-10|15:20

7.10 kB10-06-10|02:10

21.78 kB13-08-10|23:35

29.78 kB29-06-10|08:00

49.47 kB13-08-10|23:35

4.22 kB10-06-10|02:10

4.20 kB10-06-10|02:10

4.24 kB10-06-10|02:10

4.18 kB10-06-10|02:10

4.25 kB10-06-10|02:10

15.47 kB14-06-10|15:20

5.86 kB10-06-10|02:10

6.23 kB10-06-10|02:10

6.30 kB10-06-10|02:10

6.85 kB10-06-10|02:10

5.99 kB10-06-10|02:10

3.98 kB10-06-10|02:10

5.89 kB10-06-10|02:10

35.23 kB10-06-10|02:10

64.98 kB13-08-10|23:35

190.88 kB10-12-13|15:04

10.16 kB06-08-10|02:23

11.16 kB25-06-10|21:20

15.06 kB25-06-10|21:20

255.96 kB30-07-10|19:56

55.77 kB29-07-10|19:51

111.08 kB16-08-10|02:20

67.67 kB18-08-10|15:39

6.75 kB29-06-10|21:18

30.43 kB29-06-10|21:18

9.94 kB29-06-10|21:18

4.50 kB29-06-10|21:18

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

57.29 kB10-12-13|15:04

ddr_model_parameters_c1.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c3.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c4.vh31.38 kB10-12-13|15:04

ddr_model_parameters_c5.vh31.38 kB10-12-13|15:04

image_ram.prj2.85 kB10-12-13|15:04

22.98 kB29-06-10|21:18

3.23 kB10-12-13|15:04

3.15 kB10-12-13|15:04

16.98 kB29-06-10|21:18

25.52 kB16-08-10|01:40

10.76 kB29-06-10|21:18

6.89 kB10-12-13|15:04

15.43 kB29-06-10|21:18

7.93 kB29-06-10|21:18

sim.do5.61 kB10-12-13|15:04

155.57 kB10-12-13|15:04

27.10 kB29-06-10|21:18

4.62 kB29-06-10|21:18

119.42 kB29-06-10|21:18

5.62 kB29-06-10|21:18

11.02 kB29-06-10|21:18

image_ram.lso6.00 B10-12-13|15:04

image_ram.prj1.90 kB10-12-13|15:04

mem_interface_top_synp.sdc4.23 kB10-12-13|15:04

3.08 kB10-12-13|15:04

image_ram.gise1.04 kB10-12-13|16:36

image_ram.veo71.70 kB10-12-13|15:04

image_ram.xco560.00 B10-12-13|10:50

image_ram.xco.bak560.00 B10-12-13|10:50

image_ram.xise20.54 kB10-12-13|15:05

9.03 kB10-12-13|15:05

36.97 kB10-12-13|15:05

10.13 kB10-12-13|15:04

image_rom.asy524.00 B10-12-13|16:45

image_rom.gise1.54 kB16-12-13|10:08

image_rom.mif180.00 B10-12-13|16:45

image_rom.ngc14.69 kB10-12-13|16:47

image_rom.sym1.51 kB10-12-13|16:46

19.52 kB09-01-14|09:59

4.73 kB10-12-13|16:46

image_rom.veo3.00 kB10-12-13|16:47

5.15 kB10-12-13|16:46

image_rom.vho3.46 kB10-12-13|16:47

image_rom.xco2.11 kB10-12-13|16:37

image_rom.xco.bak2.11 kB10-12-13|16:37

image_rom.xise4.92 kB10-12-13|19:14

317.00 B10-12-13|16:47

3.46 kB10-12-13|16:47

rom.asy517.00 B15-01-14|20:51

rom.coe295.71 kB09-01-14|10:23

rom.gise1.51 kB06-03-14|10:10

rom.mif640.00 kB15-01-14|20:51

rom.ncf0.00 B15-01-14|21:15

rom.ngc353.48 kB15-01-14|20:53

rom.sym1.50 kB15-01-14|20:53

4.71 kB15-01-14|20:53

rom.veo2.98 kB15-01-14|20:53

5.10 kB15-01-14|20:53

rom.vho3.43 kB15-01-14|20:53

rom.xco2.79 kB15-01-14|18:18

rom.xco.bak2.79 kB15-01-14|18:18

rom.xise4.88 kB16-01-14|10:33

197.48 kB09-01-14|11:04

rom1_0.coe3.18 kB10-01-14|20:10

rom2.coe197.48 kB09-01-14|11:05

rom_1.asy518.00 B10-01-14|20:11

rom_1.gise1.52 kB06-03-14|10:10

rom_1.mif10.00 kB10-01-14|20:11

rom_1.ncf0.00 B15-01-14|21:15

rom_1.ngc13.62 kB10-01-14|20:13

rom_1.sym1.50 kB10-01-14|20:12

4.71 kB10-01-14|20:12

rom_1.veo2.98 kB10-01-14|20:13

5.11 kB10-01-14|20:12

rom_1.vho3.44 kB10-01-14|20:13

rom_1.xco2.11 kB10-01-14|18:08

rom_1.xco.bak2.76 kB10-01-14|18:08

rom_1.xise4.89 kB10-01-14|20:18

261.00 B10-01-14|20:14

3.39 kB10-01-14|20:13

233.00 B15-01-14|20:54

3.36 kB15-01-14|20:53

4.00 kB10-01-14|15:17

4.01 kB10-01-14|14:21

tector.xt0.00 B17-12-13|20:21

xil_13564_5.out2.22 kB15-01-14|22:50

xil_17804_6.in14.73 kB09-01-14|21:48

12.29 kB09-01-14|21:48

10.89 kB09-01-14|21:48

fifo_fifo_generator_v7_2_xst_1.prj6.19 kB09-01-14|21:48

fifo_fifo_generator_v7_2_xst_1.scr328.00 B09-01-14|21:48

fifo_fifo_generator_v7_2_xst_1_xst.log9.03 kB09-01-14|21:49

3.43 kB09-01-14|21:49

9.07 kB09-01-14|21:49

7.09 kB09-01-14|21:49

8.11 kB09-01-14|21:49

8.00 kB09-01-14|21:49

9.11 kB09-01-14|21:49

3.76 kB09-01-14|21:49

5.68 kB09-01-14|21:49

4.29 kB09-01-14|21:49

6.04 kB09-01-14|21:48

6.04 kB09-01-14|21:48

5.11 kB09-01-14|21:48

5.36 kB09-01-14|21:48

4.73 kB09-01-14|21:48

4.37 kB09-01-14|21:48

5.56 kB09-01-14|21:48

5.81 kB09-01-14|21:48

3.81 kB09-01-14|21:49

5.61 kB09-01-14|21:49

5.62 kB09-01-14|21:49

5.48 kB09-01-14|21:48

4.00 kB09-01-14|21:48

3.48 kB09-01-14|21:48

3.54 kB09-01-14|21:48

3.86 kB09-01-14|21:48

5.76 kB09-01-14|21:49

9.26 kB09-01-14|21:48

3.92 kB09-01-14|21:48

3.67 kB09-01-14|21:48

3.96 kB09-01-14|21:48

5.57 kB09-01-14|21:48

7.37 kB09-01-14|21:48

7.59 kB09-01-14|21:48

4.34 kB09-01-14|21:49

7.34 kB09-01-14|21:48

3.61 kB09-01-14|21:48

4.39 kB09-01-14|21:48

3.70 kB09-01-14|21:48

4.07 kB09-01-14|21:48

6.86 kB09-01-14|21:48

7.03 kB09-01-14|21:48

4.81 kB09-01-14|21:49

10.88 kB09-01-14|21:48

9.48 kB09-01-14|21:48

2.02 kB09-01-14|21:49

7.66 kB09-01-14|21:49

5.68 kB09-01-14|21:49

6.70 kB09-01-14|21:49

6.57 kB09-01-14|21:49

7.70 kB09-01-14|21:49

2.35 kB09-01-14|21:49

4.27 kB09-01-14|21:49

2.88 kB09-01-14|21:49

4.63 kB09-01-14|21:48

4.63 kB09-01-14|21:48

3.70 kB09-01-14|21:48

3.95 kB09-01-14|21:48

3.32 kB09-01-14|21:48

2.96 kB09-01-14|21:48

4.15 kB09-01-14|21:48

4.40 kB09-01-14|21:48

2.40 kB09-01-14|21:49

4.18 kB09-01-14|21:49

4.21 kB09-01-14|21:49

4.07 kB09-01-14|21:48

2.59 kB09-01-14|21:48

2.07 kB09-01-14|21:48

2.13 kB09-01-14|21:48

2.45 kB09-01-14|21:48

4.35 kB09-01-14|21:49

7.85 kB09-01-14|21:48

2.51 kB09-01-14|21:48

2.26 kB09-01-14|21:48

2.54 kB09-01-14|21:48

4.16 kB09-01-14|21:48

5.96 kB09-01-14|21:48

6.18 kB09-01-14|21:48

2.93 kB09-01-14|21:49

5.93 kB09-01-14|21:48

2.20 kB09-01-14|21:48

2.98 kB09-01-14|21:48

2.29 kB09-01-14|21:48

2.66 kB09-01-14|21:48

5.45 kB09-01-14|21:48

5.62 kB09-01-14|21:48

3.40 kB09-01-14|21:49

ngcbuild.xmsgs367.00 B15-01-14|20:53

pn_parser.xmsgs960.00 B06-03-14|10:04

xst.xmsgs200.74 kB15-01-14|20:53

.xreport20.58 kB16-12-13|09:59

medfilt.projectmgr17.66 kB06-03-14|10:10

median_filter.xreport20.65 kB06-03-14|10:04

window3by3_generate.xreport20.67 kB07-01-14|20:41

isimcrash.log0.00 B16-01-14|11:25

ISimEngine-DesignHierarchy.dbg273.43 kB16-01-14|11:25

isimkernel.log587.00 B16-01-14|11:25

median_filter_text_isim_beh.exe216.78 kB16-01-14|11:24

netId.dat148.00 B16-01-14|11:25

_1467.41 kB16-01-14|11:25

median_filter_text_isim_beh.exe_main.nt.obj2.34 kB16-01-14|11:24

m_00000000000140834608_2419565134.didat8.55 kB16-01-14|11:24

m_00000000000140834608_2419565134.nt.obj10.73 kB16-01-14|11:24

m_00000000000529377018_0374309350.didat11.14 kB16-01-14|11:24

m_00000000000529377018_0374309350.nt.obj2.10 kB16-01-14|11:24

m_00000000000965659640_4179318897.didat2.75 kB16-01-14|11:24

m_00000000000965659640_4179318897.nt.obj6.97 kB16-01-14|11:24

m_00000000001539594991_4068349104.didat4.96 kB16-01-14|11:24

m_00000000001539594991_4068349104.nt.obj4.72 kB16-01-14|11:24

m_00000000002013452923_2073120511.didat5.21 kB16-01-14|11:24

m_00000000002013452923_2073120511.nt.obj3.06 kB16-01-14|11:24

m_00000000003399395142_0948142031.didat27.67 kB16-01-14|11:24

m_00000000003399395142_0948142031.nt.obj715.00 B16-01-14|11:24

m_00000000003645434707_2220189930.didat4.73 kB16-01-14|11:24

m_00000000003645434707_2220189930.nt.obj715.00 B16-01-14|11:24

m_00000000003691783304_3860810696.didat6.64 kB16-01-14|11:24

m_00000000003691783304_3860810696.nt.obj715.00 B16-01-14|11:24

m_00000000000251481295_1416771757.didat19.06 kB16-01-14|11:24

m_00000000000251481295_1416771757.nt.obj97.22 kB16-01-14|11:24

m_00000000000468827648_0893497230.didat31.87 kB16-01-14|11:24

m_00000000000468827648_0893497230.nt.obj70.13 kB16-01-14|11:24

m_00000000001036818086_4228004225.didat3.81 kB16-01-14|11:24

m_00000000001036818086_4228004225.nt.obj1.25 kB16-01-14|11:24

m_00000000001184809869_0281268144.didat6.02 kB16-01-14|11:24

m_00000000001184809869_0281268144.nt.obj4.80 kB16-01-14|11:24

m_00000000001184809869_2098973545.didat6.02 kB16-01-14|11:24

m_00000000001184809869_2098973545.nt.obj4.80 kB16-01-14|11:24

m_00000000002216354146_0409996226.didat11.89 kB16-01-14|11:24

m_00000000002216354146_0409996226.nt.obj1.49 kB16-01-14|11:24

m_00000000003578988121_2926760824.didat52.52 kB16-01-14|11:24

m_00000000003578988121_2926760824.nt.obj1.17 kB16-01-14|11:24

m_00000000003829494420_3281787270.didat25.66 kB16-01-14|11:24

m_00000000003829494420_3281787270.nt.obj16.31 kB16-01-14|11:24

median_text.sdb4.34 kB16-01-14|11:26

median_text.sdb4.33 kB16-01-14|11:25

isim.cmd44.00 B16-01-14|11:25

isim.log940.00 B16-01-14|11:25

618.00 B07-01-14|19:39

medfilt.gise26.63 kB06-03-14|10:10

medfilt.xise41.06 kB16-01-14|11:25

median.cmd_log502.00 B07-01-14|20:32

median.lso6.00 B07-01-14|19:02

median.prj25.00 B07-01-14|19:02

median.spl209.00 B07-01-14|19:02

median.stx1.65 kB07-01-14|19:02

median.sym4.06 kB07-01-14|19:03

median.tfi315.00 B07-01-14|20:33

2.04 kB13-01-14|15:53

median.xst1.14 kB07-01-14|19:02

median_filter.bld1.12 kB15-01-14|21:23

median_filter.cmd_log1.89 kB16-01-14|11:28

median_filter.jhd111.00 B07-01-14|20:24

median_filter.lso6.00 B15-01-14|21:22

median_filter.ncd270.81 kB16-01-14|11:28

median_filter.ngc178.54 kB15-01-14|21:15

median_filter.ngd507.28 kB15-01-14|21:23

median_filter.ngr207.87 kB15-01-14|21:14

median_filter.pad27.14 kB16-01-14|11:28

median_filter.par7.87 kB16-01-14|11:28

median_filter.pcf216.00 B16-01-14|11:27

median_filter.prj154.00 B15-01-14|21:22

median_filter.ptwx16.82 kB16-01-14|11:28

median_filter.sch10.16 kB07-01-14|20:24

median_filter.schlog3.97 kB07-01-14|22:46

median_filter.spl148.00 B15-01-14|21:25

median_filter.stx2.13 kB15-01-14|21:22

median_filter.sym2.20 kB15-01-14|21:25

median_filter.syr34.31 kB15-01-14|21:15

median_filter.tfi233.00 B13-01-14|15:08

median_filter.twr6.52 kB16-01-14|11:28

median_filter.twx25.85 kB16-01-14|11:28

median_filter.unroutes154.00 B16-01-14|11:28

1.33 kB13-01-14|15:26

median_filter.xpi46.00 B16-01-14|11:28

median_filter.xst1.15 kB15-01-14|21:22

median_filter_guide.ncd270.81 kB16-01-14|11:28

median_filter_map.map6.88 kB16-01-14|11:27

median_filter_map.mrp55.43 kB16-01-14|11:27

median_filter_map.ncd125.71 kB16-01-14|11:27

median_filter_map.ngm846.59 kB16-01-14|11:26

median_filter_map.xrpt34.18 kB16-01-14|11:27

median_filter_ngdbuild.xrpt11.71 kB15-01-14|21:23

median_filter_pad.csv27.17 kB16-01-14|11:28

131.88 kB16-01-14|11:28

median_filter_par.xrpt293.26 kB16-01-14|11:28

median_filter_text.fdo1.41 kB10-01-14|09:45

median_filter_text.udo391.00 B08-01-14|21:12

1.91 kB16-01-14|11:24

median_filter_text_beh.prj286.00 B16-01-14|11:24

median_filter_text_isim_beh.exe88.00 kB16-01-14|11:24

median_filter_text_isim_beh.wdb5.62 MB16-01-14|11:25

median_filter_text_isim_beh1.wdb772.59 kB10-01-14|10:18

median_filter_text_isim_beh3.wdb284.90 kB07-01-14|20:54

median_filter_text_isim_translate.exe88.00 kB16-01-14|11:13

median_filter_text_isim_translate.wdb3.71 MB16-01-14|11:17

median_filter_text_stx_beh.prj249.00 B13-01-14|15:53

median_filter_text_stx_translate.prj106.00 B16-01-14|11:12

median_filter_text_translate.prj96.00 B16-01-14|11:23

median_filter_text_wave.fdo436.00 B08-01-14|22:15

median_filter_xst.xrpt13.85 kB15-01-14|21:15

3.78 kB07-01-14|20:39

1.61 kB13-01-14|15:24

median_text_isim_beh.exe88.00 kB15-01-14|22:29

median_text_isim_beh2.wdb18.27 kB15-01-14|22:47

median_text_isim_beh3.wdb30.09 kB07-01-14|20:27

median_text_stx_beh.prj162.00 B13-01-14|15:13

median_text_stx_translate.prj35.00 B16-01-14|11:26

median_text_translate.prj30.00 B16-01-14|11:25

mid.jhd12.00 B07-01-14|22:46

mid.sch400.00 B07-01-14|22:46

mid.schlog0.00 B07-01-14|22:46

middle.cmd_log218.00 B07-01-14|19:41

middle.lso6.00 B07-01-14|19:39

middle.prj25.00 B07-01-14|19:39

middle.stx1.65 kB07-01-14|19:39

middle.tfi174.00 B07-01-14|19:41

1.18 kB07-01-14|20:06

middle.xst1.14 kB07-01-14|19:39

median_filter_synthesis.nlf784.00 B15-01-14|21:22

median_filter_translate.nlf786.00 B15-01-14|21:23

rom.coe295.71 kB09-01-14|10:23

rom.mif640.00 kB15-01-14|20:51

rom1_0.coe3.18 kB10-01-14|20:10

rom2.coe197.48 kB09-01-14|11:05

rom_1.mif10.00 kB10-01-14|20:11

rom_image.coe322.81 kB15-01-14|19:30

rom_image_noise.coe323.06 kB15-01-14|20:39

sch2HdlBatchFile0.00 B07-01-14|20:24

0.00 B17-12-13|19:56

tector.xt0.00 B17-12-13|20:20

transcript1.95 kB10-01-14|10:01

txt0.00 B17-12-13|20:19

vsim.wlf64.00 kB10-01-14|10:01

vsim_stacktrace.vstf894.00 B08-01-14|22:25

2.83 kB15-01-14|21:14

wendow1.wcfg3.96 kB26-12-13|22:38

window3by3_generate.bld1.28 kB07-01-14|17:05

window3by3_generate.cmd_log3.27 kB13-01-14|15:03

window3by3_generate.lso6.00 B07-01-14|17:04

window3by3_generate.ncd131.39 kB07-01-14|17:07

window3by3_generate.ngc49.67 kB07-01-14|17:04

window3by3_generate.ngd297.25 kB07-01-14|17:05

window3by3_generate.ngr52.83 kB07-01-14|17:04

window3by3_generate.pad28.41 kB07-01-14|17:07

window3by3_generate.par7.91 kB07-01-14|17:07

window3by3_generate.pcf216.00 B07-01-14|17:06

window3by3_generate.prj72.00 B07-01-14|17:04

window3by3_generate.ptwx16.82 kB07-01-14|17:07

window3by3_generate.spl219.00 B07-01-14|19:03

window3by3_generate.stx0.00 B07-01-14|17:04

window3by3_generate.sym4.27 kB07-01-14|19:03

window3by3_generate.syr22.19 kB07-01-14|17:04

window3by3_generate.tfi341.00 B13-01-14|15:03

window3by3_generate.twr11.47 kB07-01-14|17:07

window3by3_generate.twx35.61 kB07-01-14|17:07

window3by3_generate.unroutes154.00 B07-01-14|17:07

3.88 kB15-01-14|21:14

window3by3_generate.xpi46.00 B07-01-14|17:07

window3by3_generate.xst1.12 kB07-01-14|17:04

window3by3_generate_guide.ncd131.39 kB07-01-14|17:07

window3by3_generate_isim_beh.exe88.00 kB10-01-14|10:33

window3by3_generate_map.map6.62 kB07-01-14|17:06

window3by3_generate_map.mrp22.55 kB07-01-14|17:06

window3by3_generate_map.ncd65.40 kB07-01-14|17:06

window3by3_generate_map.ngm502.65 kB07-01-14|17:05

window3by3_generate_map.xrpt58.02 kB07-01-14|17:06

window3by3_generate_ngdbuild.xrpt11.54 kB07-01-14|17:05

window3by3_generate_pad.csv28.44 kB07-01-14|17:07

window3by3_generate_par.xrpt316.40 kB07-01-14|17:07

window3by3_generate_text.fdo1.35 kB10-01-14|10:01

window3by3_generate_text.udo396.00 B10-01-14|09:59

window3by3_generate_text_isim_beh.exe88.00 kB15-01-14|22:24

window3by3_generate_text_isim_beh1.wdb411.92 kB15-01-14|22:47

window3by3_generate_text_stx_beh.prj237.00 B10-01-14|20:16

window3by3_generate_text_wave.fdo442.00 B10-01-14|10:00

window3by3_generate_xst.xrpt13.33 kB07-01-14|17:04

vopt0ijswn2.83 kB08-01-14|22:16

vopt0mjvra5.09 kB09-01-14|11:22

vopt0ngy7c8.91 kB09-01-14|11:22

vopt0t1dyb1.15 kB10-01-14|09:45

vopt11aqwe21.77 kB09-01-14|21:50

vopt1kat5f14.63 kB09-01-14|21:50

vopt1vmydc763.00 B08-01-14|22:16

vopt25cx4f4.28 kB09-01-14|21:50

vopt26izjd570.00 B09-01-14|21:50

vopt329mwn6.05 kB08-01-14|22:16

vopt359rra10.51 kB09-01-14|11:22

vopt4aqayb620.00 B10-01-14|09:45

vopt4bbvdc312.00 B08-01-14|22:16

vopt4t9rec10.49 kB08-01-14|22:16

vopt4z5vk118.31 kB09-01-14|11:22

vopt58q3x91.16 kB08-01-14|22:16

vopt5m1s4f8.14 kB09-01-14|21:50

vopt5r8kfn19.26 kB09-01-14|21:50

vopt5seqyk45.67 kB09-01-14|21:50

vopt6n7wjd2.58 kB09-01-14|21:50

vopt7mymra3.11 kB09-01-14|11:22

vopt7tc7yb4.30 kB10-01-14|09:45

vopt7ttmyd27.44 kB08-01-14|22:16

vopt80keds54.83 kB09-01-14|21:50

vopt88yhfn18.70 kB09-01-14|21:50

vopt8v0qdc2.52 kB08-01-14|22:16

vopt95qn4f16.24 kB09-01-14|21:50

vopt96xsjd532.00 B09-01-14|21:50

vopt988zcb568.00 B08-01-14|22:16

vopt994jyk132.07 kB09-01-14|21:50

voptahicxn880.00 B08-01-14|22:16

voptb5kira8.85 kB09-01-14|11:22

voptba24yb11.43 kB10-01-14|09:45

voptbbnkdc10.63 kB08-01-14|22:16

voptbf78ye8.45 kB09-01-14|21:50

voptc9mgen509.00 B09-01-14|21:50

voptcg9bds13.61 kB09-01-14|21:50

voptcrjefn121.13 kB09-01-14|21:50

voptcrxwcb4.38 kB08-01-14|22:16

voptdmcj4f30.00 kB09-01-14|21:50

voptdnimjd2.07 kB09-01-14|21:50

vopte189xn3.44 kB08-01-14|22:16

voptehi013276.95 kB10-01-14|09:45

voptei9cwn398.00 B08-01-14|22:16

voptem9era18.26 kB09-01-14|11:22

voptf0z8ds10.42 kB09-01-14|21:50

voptfvbhdc5.96 kB08-01-14|22:16

voptfzw5ye26.76 kB09-01-14|21:50

voptg52f4f3.40 kB09-01-14|21:50

voptg89afn40.04 kB09-01-14|21:50

voptg8jscb10.10 kB08-01-14|22:16

voptgsaden954.00 B09-01-14|21:50

vopth68ijd4.57 kB09-01-14|21:50

vopthhx6xn1.50 kB08-01-14|22:16

vopthkx9sa807.00 B09-01-14|11:22

vopti2z8wn1.92 kB08-01-14|22:16

voptib1edc12.71 kB08-01-14|22:16

voptifi2ye87.94 kB09-01-14|21:50

voptimtb8c1.34 kB09-01-14|11:22

voptitzbec1.02 kB08-01-14|22:16

voptj8t7zq37.81 kB09-01-14|21:50

voptj90aen13.46 kB09-01-14|21:50

voptjgk4ds28.13 kB09-01-14|21:50

voptk6sf3f3.34 kB09-01-14|21:50

voptkmqc4f103.39 kB09-01-14|21:50

voptm1j3xn1.32 kB08-01-14|22:16

voptm4j5sa3.60 kB09-01-14|11:22

voptm5g88c17.54 kB09-01-14|11:22

voptn0a1ds58.59 kB09-01-14|21:50

voptnam8ec8.57 kB08-01-14|22:16

voptnvnadc503.00 B08-01-14|22:16

voptq5d94f24.07 kB09-01-14|21:50

voptqqwgdb61.61 kB10-01-14|09:45

voptqrf4zq89.25 kB09-01-14|21:50

voptqsm6en1.09 kB09-01-14|21:50

voptsh8zwn888.00 B08-01-14|22:16

voptsk82sa1.04 kB09-01-14|11:22

voptsm558c4.11 kB09-01-14|11:22

voptssnkyb96.00 B10-01-14|09:45

voptsta4ec2.82 kB08-01-14|22:16

voptt0zywe3.25 kB09-01-14|21:50

voptt850zq151.86 kB09-01-14|21:50

vopttbc7dc3.06 kB08-01-14|22:16

voptv8rfw9172.00 B08-01-14|22:16

voptv9b3en8.85 kB09-01-14|21:50

voptw1ywwn688.00 B08-01-14|22:16

voptw4yzra1.32 kB09-01-14|11:22

voptw9chyb2.58 kB10-01-14|09:45

voptx5v18c3.11 kB09-01-14|11:22

voptxa01ec1.05 kB08-01-14|22:16

voptygkvwe106.28 kB09-01-14|21:50

voptyhnxbs4.32 kB09-01-14|21:50

voptyij94i1.85 kB10-01-14|09:45

voptykn05f10.38 kB09-01-14|21:50

voptyrdcw91.91 kB08-01-14|22:16

voptzmw2kd374.00 B09-01-14|21:50

_deps14.32 kB10-01-14|09:45

vopt1vd2kh10.10 kB10-01-14|10:01

vopt20aabk40.04 kB10-01-14|10:01

vopt26z7fq10.42 kB10-01-14|10:01

vopt2cr5je26.70 kB10-01-14|10:01

vopt395k1f1.02 kB10-01-14|10:01

vopt5b3zjh61.61 kB10-01-14|10:01

vopt5nk4fq28.13 kB10-01-14|10:01

vopt5wd1je87.88 kB10-01-14|10:01

vopt6qt7ym37.81 kB10-01-14|10:01

vopt731kdd108.00 B10-01-14|10:01

vopt7sth1f8.57 kB10-01-14|10:01

vopt82xv6g276.95 kB10-01-14|10:01

vopt96a1fq58.59 kB10-01-14|10:01

vopt9wyy2g172.00 B10-01-14|10:01

voptb9ge1f2.82 kB10-01-14|10:01

voptbjngdd2.64 kB10-01-14|10:01

voptcckv2g1.91 kB10-01-14|10:01

voptciir6g2.21 kB10-01-14|10:01

voptdz8xbk13.46 kB10-01-14|10:01

voptes5a1f1.05 kB10-01-14|10:01

voptf3cddd1.19 kB10-01-14|10:01

voptg5rrzm89.25 kB10-01-14|10:01

voptgfytbk1.09 kB10-01-14|10:01

vopti9v71f10.49 kB10-01-14|10:01

voptij1add508.00 B10-01-14|10:01

voptjb2ije3.25 kB10-01-14|10:01

voptkmdkzm151.86 kB10-01-14|10:01

voptkzjqbk8.85 kB10-01-14|10:01

voptmsg41f27.44 kB10-01-14|10:01

voptnai70f3.68 kB10-01-14|10:01

voptnthc4g1.16 kB10-01-14|10:01

voptqf9kbk19.26 kB10-01-14|10:01

voptqmyhfq4.32 kB10-01-14|10:01

voptqvqeje106.28 kB10-01-14|10:01

voptssm1hk509.00 B10-01-14|10:01

voptst730f9.48 kB10-01-14|10:01

voptta784g568.00 B10-01-14|10:01

vopttbdbje21.77 kB10-01-14|10:01

voptv5kefq54.83 kB10-01-14|10:01

voptvzygbk18.70 kB10-01-14|10:01

voptx9bxgk954.00 B10-01-14|10:01

voptym9afq13.61 kB10-01-14|10:01

voptytw54g4.38 kB10-01-14|10:01

voptyv28je8.45 kB10-01-14|10:01

voptzfkdbk121.13 kB10-01-14|10:01

_deps6.02 kB10-01-14|10:01

_primary.dat13.46 kB10-01-14|10:01

_primary.dbs954.00 B10-01-14|10:01

509.00 B10-01-14|10:01

_primary.dat1.16 kB10-01-14|10:01

_primary.dbs1.91 kB10-01-14|10:01

172.00 B10-01-14|10:01

_primary.dat1.50 kB10-01-14|09:45

_primary.dbs3.44 kB10-01-14|09:45

880.00 B10-01-14|09:45

_primary.dat888.00 B10-01-14|09:45

_primary.dbs1.92 kB10-01-14|09:45

398.00 B10-01-14|09:45

_primary.dat1.15 kB10-01-14|09:45

_primary.dbs2.58 kB10-01-14|09:45

96.00 B10-01-14|09:45

_primary.dat763.00 B10-01-14|09:45

_primary.dbs3.06 kB10-01-14|09:45

503.00 B10-01-14|09:45

_primary.dat2.58 kB10-01-14|09:45

_primary.dbs570.00 B10-01-14|09:45

374.00 B10-01-14|09:45

_primary.dat2.82 kB10-01-14|10:01

_primary.dbs8.57 kB10-01-14|10:01

1.02 kB10-01-14|10:01

_primary.dat1.19 kB10-01-14|10:01

_primary.dbs2.64 kB10-01-14|10:01

108.00 B10-01-14|10:01

_info2.20 kB10-01-14|10:01

vlogd06ad31.43 kB09-01-14|11:20

vlogwqas06827.00 B09-01-14|11:20

_vmake26.00 B10-01-14|10:01

21.00 B16-01-14|11:26

cst.xbcd1.11 kB15-01-14|21:23

work.sdbl22.74 kB15-01-14|21:22

work.sdbx142.00 B15-01-14|21:22

netlist.lst99.00 B15-01-14|21:23

map.xmsgs1.42 kB16-01-14|11:27

netgen.xmsgs667.00 B15-01-14|21:23

ngdbuild.xmsgs367.00 B15-01-14|21:23

par.xmsgs1.94 kB16-01-14|11:28

pn_parser.xmsgs1.34 kB06-03-14|10:04

trce.xmsgs1.00 kB16-01-14|11:28

xst.xmsgs367.00 B15-01-14|21:22

rom_1.coe4.22 kB10-01-14|19:38

三种类型fifo的设计方案.doc68.00 kB31-03-09|20:44

cameraman.tif63.71 kB04-12-00|13:57

coins.png18.61 kB09-01-31|20:27

315.00 kB15-01-14|21:07

317.51 kB15-01-14|20:22

demo.tif48.19 kB09-01-14|20:39

44.00 B23-12-13|17:25

220.00 B13-01-14|17:34

med3.asv775.00 B20-12-13|10:09

760.00 B20-12-13|10:42

medfilt2.asv819.00 B09-12-13|20:54

medfilter1.asv146.00 B09-12-13|15:19

157.00 B09-12-13|15:20

medfilter2.asv1.04 kB23-12-13|00:16

1.13 kB07-04-14|20:35

median_filter_demo.jpg14.93 kB09-01-14|17:19

shuju.asv303.00 B13-01-14|19:31

460.00 B07-04-14|20:37

bag.png21.75 kB14-01-99|14:04

blobs.png830.00 B14-01-99|14:04

circles.png972.00 B14-01-99|14:04

coins.png17.37 kB09-01-31|20:27

C_bag.png21.75 kB14-01-99|14:04

C_blobs.png830.00 B14-01-99|14:04

football.jpg5.77 kB14-01-99|14:04

football.png42.07 kB04-09-67|13:51

onion.png47.47 kB14-01-99|14:04

snowflakes.png13.64 kB14-01-99|14:04

text.png1.56 kB14-01-99|14:04

解压此文件即可.zip162.45 kB09-01-14|20:58

数字图像处理中值滤波的设计.ppt487.00 kB07-01-14|22:35

639.00 B05-11-10|17:14

1.13 kB05-11-10|08:51

altsyncram_urj1.tdf12.26 kB05-11-10|19:07

a_dpfifo_6g31.tdf3.31 kB05-11-10|19:07

a_fefifo_m4f.tdf3.25 kB05-11-10|19:07

cntr_cjb.tdf3.05 kB05-11-10|19:07

cntr_oj7.tdf3.03 kB05-11-10|19:07

dpram_rt01.tdf2.31 kB05-11-10|19:07

prev_cmp_zh.asm.qmsg1.96 kB05-11-10|19:11

prev_cmp_zh.fit.qmsg52.58 kB05-11-10|19:11

prev_cmp_zh.map.qmsg38.00 kB05-11-10|19:11

prev_cmp_zh.qmsg3.04 kB05-11-10|19:20

prev_cmp_zh.sim.qmsg3.04 kB05-11-10|19:20

prev_cmp_zh.tan.qmsg47.63 kB05-11-10|19:11

scfifo_v931.tdf2.38 kB05-11-10|19:07

wed.wsf11.19 kB05-11-10|19:27

zh.(0).cnf.cdb1.64 kB05-11-10|19:11

zh.(0).cnf.hdb1.05 kB05-11-10|19:11

zh.(1).cnf.cdb2.72 kB05-11-10|19:07

zh.(1).cnf.hdb1.40 kB05-11-10|19:07

zh.(10).cnf.cdb2.08 kB05-11-10|19:07

zh.(10).cnf.hdb777.00 B05-11-10|19:07

zh.(11).cnf.cdb1.35 kB05-11-10|19:07

zh.(11).cnf.hdb707.00 B05-11-10|19:07

zh.(12).cnf.cdb1.35 kB05-11-10|19:07

zh.(12).cnf.hdb708.00 B05-11-10|19:07

zh.(13).cnf.cdb2.80 kB05-11-10|19:11

zh.(13).cnf.hdb1.13 kB05-11-10|19:11

zh.(14).cnf.cdb4.92 kB05-11-10|19:11

zh.(14).cnf.hdb2.21 kB05-11-10|19:11

zh.(15).cnf.cdb3.50 kB05-11-10|19:11

zh.(15).cnf.hdb1.64 kB05-11-10|19:11

zh.(16).cnf.cdb4.47 kB05-11-10|19:11

zh.(16).cnf.hdb967.00 B05-11-10|19:11

zh.(2).cnf.cdb1.35 kB05-11-10|19:07

zh.(2).cnf.hdb707.00 B05-11-10|19:07

zh.(3).cnf.cdb1.11 kB05-11-10|19:07

zh.(3).cnf.hdb595.00 B05-11-10|19:07

zh.(4).cnf.cdb1.02 kB05-11-10|19:07

zh.(4).cnf.hdb545.00 B05-11-10|19:07

zh.(5).cnf.cdb1.87 kB05-11-10|19:07

zh.(5).cnf.hdb696.00 B05-11-10|19:07

zh.(6).cnf.cdb2.47 kB05-11-10|19:07

zh.(6).cnf.hdb794.00 B05-11-10|19:07

zh.(7).cnf.cdb2.09 kB05-11-10|19:07

zh.(7).cnf.hdb800.00 B05-11-10|19:07

zh.(8).cnf.cdb997.00 B05-11-10|19:07

zh.(8).cnf.hdb531.00 B05-11-10|19:07

zh.(9).cnf.cdb1.42 kB05-11-10|19:07

zh.(9).cnf.hdb696.00 B05-11-10|19:07

zh.asm.qmsg1.96 kB05-11-10|19:12

zh.asm_labs.ddb484.71 kB05-11-10|19:12

435.00 B05-11-10|19:14

zh.cmp.bpm772.00 B05-11-10|19:12

zh.cmp.cdb130.30 kB05-11-10|19:12

zh.cmp.ecobp28.00 B05-11-10|19:12

zh.cmp.hdb24.37 kB05-11-10|19:12

zh.cmp.logdb4.00 B05-11-10|19:12

zh.cmp.rdb29.03 kB05-11-10|19:12

zh.cmp.tdb124.13 kB05-11-10|19:12

zh.cmp0.ddb330.46 kB05-11-10|19:12

zh.cmp_bb.cdb41.91 kB05-11-10|19:12

zh.cmp_bb.hdb24.27 kB05-11-10|19:12

zh.cmp_bb.logdb4.00 B05-11-10|19:12

zh.cmp_bb.rcf31.09 kB05-11-10|19:12

zh.dbp0.00 B05-11-10|19:14

zh.db_info137.00 B05-11-10|19:04

zh.eco.cdb161.00 B05-11-10|19:27

zh.eds_overflow3.00 B05-11-10|19:20

zh.fit.qmsg66.43 kB05-11-10|19:12

zh.fnsim.cdb28.91 kB05-11-10|19:14

zh.fnsim.hdb33.24 kB05-11-10|19:14

zh.fnsim.qmsg37.20 kB05-11-10|19:14

zh.hier_info98.60 kB05-11-10|19:14

zh.hif12.97 kB05-11-10|19:11

zh.map.bpm746.00 B05-11-10|19:11

zh.map.cdb26.38 kB05-11-10|19:11

zh.map.ecobp28.00 B05-11-10|19:11

zh.map.hdb23.61 kB05-11-10|19:11

zh.map.logdb4.00 B05-11-10|19:11

zh.map.qmsg38.00 kB05-11-10|19:11

zh.map_bb.cdb26.12 kB05-11-10|19:11

zh.map_bb.hdb23.60 kB05-11-10|19:11

zh.map_bb.logdb4.00 B05-11-10|19:11

zh.pre_map.cdb32.73 kB05-11-10|19:11

zh.pre_map.hdb26.04 kB05-11-10|19:11

zh.psp0.00 B05-11-10|19:14

zh.pss0.00 B05-11-10|19:14

zh.rtlv.hdb25.92 kB05-11-10|19:11

zh.rtlv_sg.cdb25.93 kB05-11-10|19:11

zh.rtlv_sg_swap.cdb4.39 kB05-11-10|19:11

zh.sgdiff.cdb27.29 kB05-11-10|19:11

zh.sgdiff.hdb30.33 kB05-11-10|19:11

zh.signalprobe.cdb1.09 kB05-11-10|19:12

zh.sim.cvwf2.17 kB05-11-10|19:20

zh.sim.hdb3.16 kB05-11-10|19:20

zh.sim.qmsg3.04 kB05-11-10|19:20

zh.sim.rdb19.87 kB05-11-10|19:20

zh.simfam10.00 B05-11-10|19:20

zh.sld_design_entry.sci154.00 B05-11-10|19:27

zh.sld_design_entry_dsc.sci154.00 B05-11-10|19:14

zh.syn_hier_info0.00 B05-11-10|19:11

zh.tan.qmsg49.01 kB05-11-10|19:12

zh.tis_db_list.ddb174.00 B05-11-10|19:12

645.00 B05-11-10|09:09

6.58 kB05-11-10|16:31

6.58 kB05-11-10|16:31

6.58 kB05-11-10|16:31

834.00 B04-11-10|16:06

841.00 B04-11-10|14:53

transcript429.00 B07-01-14|19:28

zh.asm.rpt6.85 kB05-11-10|19:12

zh.done26.00 B05-11-10|19:20

zh.fit.rpt214.60 kB05-11-10|19:12

zh.fit.smsg513.00 B05-11-10|19:12

zh.fit.summary596.00 B05-11-10|19:12

zh.flow.rpt5.17 kB05-11-10|19:12

zh.map.rpt69.36 kB05-11-10|19:11

zh.map.smsg327.00 B05-11-10|19:11

zh.map.summary452.00 B05-11-10|19:11

zh.pin77.31 kB05-11-10|19:12

zh.pof2.00 MB05-11-10|19:12

zh.qpf906.00 B05-11-10|19:04

zh.qsf2.54 kB05-11-10|19:20

zh.qws1.04 kB05-11-10|19:27

zh.sim.rpt711.89 kB05-11-10|19:20

zh.sof821.38 kB05-11-10|19:12

zh.tan.rpt90.52 kB05-11-10|19:12

zh.tan.summary1.88 kB05-11-10|19:12

230.00 B05-11-10|19:11

zh.v.bak229.00 B05-11-10|19:11

zh.vwf27.90 kB05-11-10|19:19

axi0.00 B10-12-13|15:05

mcb_controller0.00 B10-12-13|15:05

traffic_gen0.00 B10-12-13|15:05

functional0.00 B10-12-13|15:05

axi0.00 B10-12-13|15:05

mcb_controller0.00 B10-12-13|15:05

builtin0.00 B09-01-14|21:49

common0.00 B09-01-14|21:49

fifo16_patch0.00 B09-01-14|21:49

ramfifo0.00 B09-01-14|21:49

par0.00 B10-12-13|15:05

rtl0.00 B10-12-13|15:05

sim0.00 B10-12-13|15:05

synth0.00 B10-12-13|15:05

par0.00 B10-12-13|15:05

rtl0.00 B10-12-13|15:05

sim0.00 B10-12-13|15:05

synth0.00 B10-12-13|15:05

builtin0.00 B09-01-14|21:49

common0.00 B09-01-14|21:49

fifo16_patch0.00 B09-01-14|21:49

ramfifo0.00 B09-01-14|21:49

blk_mem_gen_v4_30.00 B09-01-14|21:49

fifo_generator_v7_20.00 B09-01-14|21:49

docs0.00 B10-12-13|15:05

example_design0.00 B10-12-13|15:05

user_design0.00 B10-12-13|15:05

_cg0.00 B15-01-14|20:54

blk_mem_gen_v4_30.00 B09-01-14|21:49

fifo_generator_v7_20.00 B09-01-14|21:49

_xlp0.00 B09-01-14|21:49

tmp_save0.00 B16-01-14|11:25

work0.00 B16-01-14|11:24

xilinxcorelib_ver0.00 B16-01-14|11:24

median_filter.prj0.00 B15-01-14|21:14

window3by3_generate.prj0.00 B26-12-13|17:23

image_ram0.00 B10-12-13|15:05

tmp0.00 B15-01-14|20:40

xlnx_auto_0_xdb0.00 B10-12-13|10:32

_bbx0.00 B09-01-14|21:49

_xmsgs0.00 B15-01-14|20:54

median_filter_text_isim_beh.exe.sim0.00 B16-01-14|11:25

temp0.00 B16-01-14|11:26

work0.00 B16-01-14|11:25

synthesis0.00 B15-01-14|21:22

translate0.00 B15-01-14|21:23

@_opt0.00 B10-01-14|09:45

@_opt10.00 B10-01-14|10:01

fifo0.00 B10-01-14|10:01

glbl0.00 B10-01-14|10:01

median0.00 B10-01-14|09:45

median_filter0.00 B10-01-14|09:45

median_filter_text0.00 B10-01-14|09:45

middle0.00 B10-01-14|09:45

rom0.00 B10-01-14|09:45

window3by3_generate0.00 B10-01-14|10:01

window3by3_generate_text0.00 B10-01-14|10:01

_temp0.00 B10-01-14|10:01

dump.xst0.00 B15-01-14|21:14

projnav.tmp0.00 B15-01-14|21:14

work0.00 B26-12-13|15:28

ipcore_dir0.00 B15-01-14|21:15

iseconfig0.00 B08-01-14|15:59

isim0.00 B16-01-14|11:26

netgen0.00 B15-01-14|21:23

templates0.00 B16-12-13|15:39

work0.00 B10-01-14|10:01

xlnx_auto_0_xdb0.00 B15-01-14|21:23

xst0.00 B26-12-13|17:23

_ngo0.00 B15-01-14|21:23

_xmsgs0.00 B16-01-14|11:28

medfilt0.00 B06-03-14|10:03

有晴图片处理0.00 B28-02-14|21:40

db0.00 B05-11-10|19:04

article0.00 B16-01-14|10:28

hardware0.00 B13-01-14|15:56

matlab0.00 B15-01-14|21:37

ppt0.00 B15-01-14|22:47

zh0.00 B11-12-13|19:19

bigwork0.00 B19-12-13|10:40

...

图像中值滤波python代码_图像中值滤波FPGA实现相关推荐

  1. 微信开发中消息回复的代码_消息中的消息

    微信开发中消息回复的代码 Ste·ga·no·graph·y / stegəˈnägrəfi / (noun): the practice of concealing messages or info ...

  2. 中公教育python教师_为什么中公教育、华图的老师不自己去考公务员?

    你怎么知道那些机构老师没有考公务员?只是大多考不上而已. 以我了解的武汉市某图普通代课老师和武汉市普通年轻公务员为例: 武汉市某图普通代课老师月薪7000左右,根据具体岗位和加班情况会有上下浮动,也有 ...

  3. python tello_Tello-Edu无人机:如何用Python代码捕捉图像

    我是一个初学者,正在学习编程一种叫Tello的无人机.我正试着用它拍摄一张照片.在 在手册中,没有使用无人机拍摄图像的选项.这里只提到了录像.如何用无人机用Python代码捕捉图像?在 以下是tell ...

  4. python代码计算图像的分辨率

    python代码计算图像的分辨率 def jpeg_res(filename):""""This function prints the resolution ...

  5. Python代码实现图像语义分割

    Python代码实现图像语义分割的步骤详解 原文链接:https://www.jb51.net/article/187249.htm 在网上看到了这篇,代码简洁,身为还没完全入门的小白,每跑通一个程序 ...

  6. python怎么换背景_3行Python代码实现图像照片抠图和换底色的方法

    1.项目背景 对于不会PS的小伙伴,抠图是一个难度系数想当高的活儿,某宝照片抠图和证件照换底色均价都是5元RMB,所以今天要介绍的这款神工具,只要 3 行代码 5 秒钟就可以完成高精度抠图,甚至都不用 ...

  7. python红色的颜色表达式_50行Python代码实现视频中物体颜色识别和跟踪(必须以红色为例)...

    目前计算机视觉(CV)与自然语言处理(NLP)及语音识别并列为人工智能三大热点方向,而计算机视觉中的对象检测(objectdetection)应用非常广泛,比如自动驾驶.视频监控.工业质检.医疗诊断等 ...

  8. LEGO EV3 中执行 VSCode Python 代码过程分析

    镜像为 ev3dev. 通过 SSH 连接 LEGO EV3 设备,默认密码为 maker: $ ssh robot@ev3dev.local Password: Linux ev3dev 4.14. ...

  9. PEP8 - Python 代码风格指南中英对照

    PEP8 - Python 代码风格指南中英对照 Introduction A Foolish Consistency is the Hobgoblin of Little Minds Code la ...

最新文章

  1. OKR会议的7个步骤
  2. ubuntu18.04在终端安装pip3时404 Not Found [IP: 91.189.91.24 80]_木绿的博客-CSDN博客
  3. Parse error. Expected a command name
  4. [Web 前端] 解决因inline-block元素导致的空白间距和元素下沉
  5. MiningZhiDaoQACorpus,580万百度知道问题,980万问答对数据挖掘项目
  6. ❤️JavaScript系列6部曲:流程控制(万字长文)❤️
  7. js基础中Null、undefined、NaN、false、0、{}的理解及使用
  8. java mail 不用密码_iPhone 无需越狱,简单给 App 加密码锁
  9. 微信表情包小程序源码-更新登录接口+增加举牌功能
  10. python填充数组到指定长度
  11. 数据库中的超码、候选码、主码
  12. 使用Jmeter对API进行性能测试
  13. SpringCloud Alibaba之Nacos组件
  14. 研发工程师为你详解山寨机、品牌机和水货机
  15. ISA防火墙基础及应用
  16. python控制窗口_python小笔记-控制窗口的现实和隐藏
  17. 酒浓码浓 - HTML5微数据/itemscope/itemtype/itemprop
  18. 摄像头网页服务器,网络摄像头实现直播的方法 在网页浏览器播放等于可以在网页传播...
  19. 小米java模拟器_Android沉浸式简单实现(暂未测试全部机型,根据资料修改,模拟器,小米6,,荣耀做了简单测试)...
  20. 腾讯产培生笔试(内附作者答案)

热门文章

  1. 她说:行!嫁人就选程序员!
  2. 打破多项世界记录  双11背后最大的力量是技术
  3. 8 年后重登王座,Python 再度成为 TIOBE 年度编程语言
  4. centos8安装mysql_CentOS8+FreeRadius+Mysql8.0+daloRadius建立Radius服务器
  5. 华为120hz鸿蒙系统,华为亮剑,120Hz+鸿蒙系统+5500mAh,竟然如此销魂
  6. java 电子编号生成器_业务编号生成器
  7. 鸿蒙系统华为什么手机你能用,【图片】华为鸿蒙系统的厉害之处在于 你可能非用不可 !【手机吧】_百度贴吧...
  8. IntelliJ IDEA 2020 数据库连接Oracle和Mysql
  9. Linux环境 安装 Redis-6.2.6 配置运行_01
  10. Mybatis Generator 配置详解